From fbfc596e9acd0ef308c375f3f0fd0143a258f739 Mon Sep 17 00:00:00 2001 From: giomba Date: Mon, 27 Feb 2023 22:02:25 +0100 Subject: [PATCH] Update README.md and and images. --- README.md | 29 +++++++++++++++++++++++++++++ img/ceda2vga.jpeg | Bin 0 -> 108521 bytes img/vga-detail.jpeg | Bin 0 -> 11983 bytes 3 files changed, 29 insertions(+) create mode 100644 img/ceda2vga.jpeg create mode 100644 img/vga-detail.jpeg diff --git a/README.md b/README.md index 71990cc..4ad5a87 100644 --- a/README.md +++ b/README.md @@ -1,6 +1,16 @@ # ceda2vga A video adapter for Sanco 8000 series computers, to use your handy flat-screen VGA display. +This project is part of a reverse engineering effort of a Sanco 8003 by [RetrOfficina GLG Programs](https://retrofficina.glgprograms.it/). +Also see [ceda-home](https://github.com/GLGPrograms/ceda-home). + + + + +## How it works +A Raspberry Pi Pico syncs with a Sanco-generated frame, captures and stores it; then the frame is sent out as a VGA signal. +This project extensively uses pi2040's PIO peripheral and DMA capabilities. + ## Setup ``` ./setup.sh @@ -12,3 +22,22 @@ A video adapter for Sanco 8000 series computers, to use your handy flat-screen V ./build.sh ``` + +## Build +Circuit is extremely trivial, see [PDF schematics](board/ceda2vga.pdf). + +### BOM +| component | # | +| --------------------------- | - | +| Raspberry Pi Pico dev board | 1 | +| DE-15 socket (female) | 1 | +| 10kR resistor | 5 | +| 1.2kR resistor | 1 | +| 200R resistor | 1 | +| 82R resistor | 1 | +| 1kR resistor | 1 | +| push button | 1 | + +## License +SPDX-License-Identifier: GPL-3.0-only + diff --git a/img/ceda2vga.jpeg b/img/ceda2vga.jpeg new file mode 100644 index 0000000000000000000000000000000000000000..ba24b8aebd1751e2f283b13b9d69e67bec4d91a9 GIT binary patch literal 108521 zcmeFZbyQr>@-I3#!Gi^d;5N9sYjD@#Fu1!D+#yKN1b2dafFQvM?gV!W1PBCqn0+1W<6l+YA5`{>6C!ru|C;4PaJ) z7ZqsBfXW77Y@lrhDi-v=X9rv3KZwkdmYeQL&EGWtw9>K) z%4BRztSn6IY=C4IHg-N1Ha<3PGB!3o79Ku!4iF(IBlwSgxPZ*;znBETG!cL1E`A@KlZHyI_<%LK&5~AE*>5qpZK2&JW%b?|BQ2Ub8>QWgFydl z`$YRxpMf5LHG0zGse+*XS`1u3{l#5CH#87+z+@mq;0@+)1U}6I{~s9VFMgtfg8m~1 z4Y+{*i?LXN0iYoMem(x@UU`}vnDKE5Bo0D^hev>iLqtG8c=ikt2?ZM!1sNFy9}^25 zo0x!VhnhK!t%f`|wRUPcyH4h{}d8eTyjHUTDf4z?#DP|uz{LqSHtLq)}7 zdr9;gSU1a(0H#*%}epF_bshkEP^t_y19FJdU=Pu z2@MO6h>S`~ew&h-mi{gyFTbF$sJNuGthTPcp|PpCrS)@9Z(skHfx)54sp*;7x%q{~ z^^MJ~?Va7d{e$o47e6kqu7BR#KH2qT=Rf6-VgHL=&jGujVPRol5uWUVg7yF^%yU?{ zm#pxZqACc+PFUn@L5SF5i63gZpHZ-@p5d4{Paxq^a;#H*e=_a2W&h6%3;zFP*}n|? zw_S@M6c{LA@nD{VghAgAWqn)Vy-6C%+H)&SXy6;bRLdXq&Z_xp54o*KHN-DflqH?Jr8JaUaF~}O4zZ;l zU<~803=F2EvHGfd!lq_vaq>nR|&_i%PQBUF0-ZA-U4T&eD@0%}_7nkgOKLrW#jwCVUVAi1;r5!9=x zW(XPR^2;++C%O?Qx+h3+U=-f{F7gPfvU|XZ`IxfQ7ENce*N}fldPC@RfI(92*csgP zQzNt^96kg5wR2pRgnmmAg!{A)4dhk+M~PT_RIk66I##p_ zHy7$g|B^rDYi^(g^tE{e1w=ep(=S>#9$sfqJRA)LI|ilm@-&q1e(K8!FYAm) zkXFrv-J3ZVdKBLhUW;3#@+D0Q@u4Mrq2P9(UNySusz%dYXYKfH15Uutm-1pSUM&)x z>Ap((w1&n&(Q|7?A~0>QFVJ|_i;(`kFR1wn_WEvLkx5qD2_mRO*L=U-L$pi%DfXvj z@qI}5*_Lsk-IsId_T1i2Xj86s=Es!{5i80?1LpXNgNN5rtfzfGvyl#03YcR=MiFTg z%Q17WS}Dy*3U6ui3f`A_G^fgd19tQ6jUR^21N4zv)GO5$yqsLUc88SmF7I7#l;Qe~ z%5>sD_|#?l2f8%Pj^blWXWpR?&u`S+P!zUxcJF1<e~!EcgBoeZ9HTZ_oXI! z7!yAte9JXe)&8mJvz0hEju=x$B!OqPd0*8LprpG5HhKi@V@h=mAfM=%3dVVtToxv2 zaD85#T%p!T^FkpP{w$40@m1quU}ocBaaf#Q32q#lT*q)5i^7S^A#{I`;_z0}H3M!$ ziA(SKXR-EJLQp}Vh=8M_=eWL>66r~M%dv^w8r}U$o4L0$Ql~BHP$t?3kD~cJN#wG6 zPgiout`lBZ64~A5spv%4@0>p0PI$lll(tm4FwiYGr82lmJPxLl3i7zHOI^6y;e|U+M`>oN!G0f5`KXsiBvHq4?IXy2CE#79 zpRi_I!`J-UFmWPPT#Kb{fgA>f()?<#x>>i^czXLa^|)}BEyCW4WyQC1$bR6f60`Os z=~NM)i^TmS2I|>ETCAyJ&ZhPKlVlyM_wGm~_-CG`dw%7yhHCYVe5Tk=O$4!1%Q6IN zvGd(H9|~-4b5f`e`HN$)noDRPLK|&uP(=;LqXmn8DZLZH+5;lIS9pVhhxW6>652D{ zQ;aE2VT@%wm))Ez`1@y3o(6sk`fD7og?kO@>t1LlL5dB=yf+2rCV{78KBRR4Fl7C3 zMaBTK=<7Ia@6w?~I(KNWf~Mb(mRWT4If|ZlzJgKOFgPLNq}ZxrRi?@99Wz4FtH%v23l-#Sq zrpTU?y}uB({fqPBl!+Og?BPK8T3YRA)`M8ZGS^EoH;1?W93RCRufBiIb;E|zU@l(b zBNKL#&)pKPMAjrmkBT@}R78~(FsGzg%_Fu6<LFmko zVWYZQ!&Uzd94(JC6l2DgG=$!%><0%Km@VSsI=A&}6S@Cp*p4B6UO@;e>!4wV=v;u> zBk*8S|4l;mO|%+KD=z+Bo#7{371xx7^ZB1*JmDTT8Ho!V&J^H@6uFD@X0l5`^jAL~ zQcNA@%`hd2_@n)f5*AKl2j^&JYmCnB+tcLgDrRevyqL#9$=7eu<+3>r-9@kb3~vaD zPzN@Pe0cioC+)8V78Bp()ZKC1q4I~x5%<+yHb>Z-p`{^n^s9|=tKeT z<%xm|OwZ-U1;ZObU+Q0W&^-d)|mx)g{-NQ!UM#t#BMrT!FXD%)y?KvwV}f`%fW z*An4Agv)^4*? zH~3KFVptkH%+8kBHX=nv6f&qRdiUGBzyDOFG?Q^rPROqhIFnW_5J5Tg%eYgAHFZ zYqUgHufROqU=K3Ak>bfGl#M|!p8lR?`EjTItpj%4p0HQl`=$_QzUos#($DOw;x1Gv zp;LNwkp-XO5n!RFXAKGumwG>BZ#t}-*F%v)3#c!Y(5BPlNw zpI4Bf-`8?e*5NV|Tv^c9E^YP2yX4EeL>kl9DFH1D0`X zjS^rRoVvG+d@XCFpPLy-pYNw?vLH8SXn9Z#Y^|Z?R`07X_15gSj%eLN%px#!8PBwu zE3<|AeOJbf&MG%oJ>6%vDTkuBYXlYfNQZIWm0QaqwzXJ%rj`{N629%v{IC*@N^&!O zSB@$7?%_L})6M%T?!N0$+z7C82;~tuC?~)49!`>{MQ*rMHA$sSfa`(rZnMKuvjy5l z#Al;6+p$+GQFh;SI%7KN#bVcNxY0)K^xAA}+lh!EZAt5!*J4|?zIy`(y*yoG45fNz zu(&ekgiaLr6c0C5B7UoZzX*=OrZ&bz8>6_yZA}Gc!8}4aJ)Pc1pU0Q2cSm=H4x@<`tH0~G7cwYiCjeb<} z%OtHXe&aqdJMY1YxuzkKLATbFiiFbHib?Ri01-=5+Uu(#(^=B7@um!{Ut@h~9`?@I z(>>vxX_b5B*Q-WJW%BmZ*>m~)Kr|LbG%4P#$v*4ZCee%?jy2*z@>0?eT8bhTKl(Pk z^b<|UheT3dyAt#Og>qGGNsfy_vUa0VeCLY3_$H{%dyU$NrKpgGSkBZ9YIeWMDm@Ui z-pH3C$9s5h_12h+6$jbM0ui>#g!wNtt%>_TEy_AR;dtO!Dyr9h>jhAjMJut#iBPG$1fXnePNMz0o?ncWl`2p#@uDS5G~IznKK%@sKjq#jSuxERVix zXkk*#lfPH1p2FMk?Fa3FW^2Cwa&;nY>{0^xTF_LMIvb={zmIV6Ib&b~U$yA?hw<~` zVWK9NLCPlf`gi;uvROWU43_du=WEpR{E#A7+EYj5*p81p-PfbmQ&uO@GJWkaOQX=3 z+_d}=<-vrJnh0<@SwAS6))*A(`SsFeTQkp5xaCK0l_d=t`0YzptW_B%!u#y-cP!L z^~Y|bdm?qpvf161@7m>VO{Ig0?YRBf$})zh^Ji>}9LZ1*MD=FaiH``G0Ur`=Gd>gI`)_U^V)!lY;Y zwv_71Tv$J$^y8RzN~?+-qRrYnr*IXxz!x}dEQ+#fGXVjTIQFB?5JpV5~|dM z9#T%+Zyq-py&8)4#n#pnF4n1j4 zWaPej!5Y*Z=af5CYf+!dt2LS=V2`h1n|#F3`RS)y$v|&EN{P4szWO7G`B0<&6rMV} zOzJj9?PK_LEYg>xE+42Q`m70oiv1HNDtUhGMZvIRoMp7A=kFr!RstyWcRKQMA|MCFbX_^cy-p<3jU3529C;hIP`{`F>wO)>1<(Y)Z%uB=BS<*g)kxLFDNSwc%S5b1OX5T> zCPk>o1)KoaT^95E-9yb|PI=7F&cJJu-o5tgkDf9} z-D1Suo=2dR#z#DF$DR&NJuJ$6KSH?alH^PQz zBsR#GUhE8a((sz&k5^ii@}~<>HY&Y7yj?!HizGvdB6z+K4D!zTsT*sNB(GYde{!G~ z?Ad*IK`;<**ebDz2orRkN;)tzQ7!O3(ikRm|A>kt-qHI>mM>13XdytLzoA zR^C3YN)BH)<|Bw*Y`Ab%2qwBr;l>ANkaLmEyf;czJwT zGQK>u$+L&M6m@)u4q?z!V#;@djWa`vBu#Y>DMBT5Z6g_BfkR&^x0SlLlT^3(cA>ZDiYf zzDuW??E{ZF%6uU%%N`cDGHGc_tkt5@sXM<7$dy~KmV)q1c|UZZPFE!himL;UwhZoQmCJZMyE{r>g2kkBA#37W+gNLgZ(nuo>EtRRK- z_fO>|?VYwWM)eZ<)hE-qLJQ@%tCXLbKee(SGF-`!uk4i3y5z2_O;NYkz9yGam~?J8 z#jpC+t@}pTEkqo9lCf?GoBk2>f(Xs~x-^uoY;|I^;jVGQccfxDbI%h=Mu=I7mJ)4*q& zv(3?!FEr0?8-|w{Q)@{-u?+d~5=H$mru>>=nfc0t%=l(zK3xeCGL1AIlT=CQh9ek# z?1Ep7>ooQClKhfxpiMj8TawfM0BaXVB?%HXnkO>J#r_qoAuq{FNs8~qv1am+L#l-P zZfr5En%$bY;&85QhW7xNM$2uL|0<8|t-*RrtR()O9j7!Lg8T?qEsiT zd7FCuAIk-#QylwDc13PJ?&?m12vO$qvSw^G{Lh`gy_VZAjIErx-xbuS#8hO&9nCz^ z7y0rL6D1=-+n{71H1qy5;f7~YZ6kCKT|;a|EoUP$@tG6Ow{vqjMw7s9hr;dAt80R*e~u?=q(J%Y2B z6i{X9hh*iNxJG;Q`r?f=i^NUt#c6(3KX6VE4W;S5lSk0|xVL>>PJ4r$sJ%24;NdLg z4Gnegq9qmU9Gz8qhgg+vS|Wc;G}WW=+B_vYJn81@!AX!qA`IDThjqX}2)=O@26JzjQe|y_YrePE4nfDPYo^f`>S; ztX4d4WP5v>A|+xwU9tBeMR#@V*~@spm3n&&->_%T`a$C`i-ovc6mZq#O{M2H;72$gP^AR+VBGFyU z4Edhph*~n;dun9fot>QyOIrFhu3@7^DN@ce8n{5pV1Nko=YNT?xe1DXF8^I zY@C{nOdBynFIdM|pr}9I>G*Ac4G;8wR&dHAyN090jfZw^B?sM=#~IERx2Y@$i-h)Kz=$IIXAXsLpNHU&VZfRyI3^_W^(@R`Je1nSTkd0TpK0lMLxh%mR zM0Pi7$3fA>?hg_#%7*srlN`?sog8Xl=~>A&U(`0Hh5;uFIxK5@2Juw9@xM zFW%N~_8iOQ=BW0+7kHC*o9@nMpTEBMY0w9KiBT_zlJyxE=~WtGgwP6u?#|~gnvtdn zt**+YFA<0DzbT^^DijO`pk)}(n8h;HcCk4k9!XjbZXoYwyIYrE=3kNfH+vgeo%j?s^#r@Rp-9?|%hFB?o4a0+Wi(kzuT-5hc(CX*1iR6Q@ z7IP}EqHDE%6H!NVb{j-Fg5s6Zvyu-D92IZVxr;&_)p<==2x+B3I3N}3EuH4 z_mDbFsQpo~H0V`xXOCj~H4lVJ2b+V?Q8x>op-C1-XOXT_oOx(b-V)0Ap|>S=!_(X) zm&Nd1ebzY{c=L^Es!$0#Me_UVYq=vD^3Jf)-78tULeoE5(m~v2=*p-BP}LCMzAlFG z*`~@KsNMSE5b&~7J;q0x+~hlkDtRAM(ox&8fCXpa{yaAdg@wFL1aP?C z!S&0tI(E{rT)*=8n)+l>*n>`X1QAH0ZXXsFLG!?#qjlv*0WN#_xg3$1+eSm7E}AZq zGQ`@Az%pt(O`+kJWR^1r%(Y!81*|hjX!SnSUUXB5jSP2%;`%)8R*Tf(ir){HDmH5f z1I03CM!U+rW-C-62JLc29J`FBXlyade~f*0lz8ibx;;Gvx9BU$x4k{-?Wp07Spg*? z3o8LNsN|O_s3oehJTRqIKF5%@)PSKf1H*orMrHlLzBuXkkD$ZZu9P(&q(VQ)rcawk*rs->X91j!aw!VBVOTl%C}}fIgb(LXbFCv) zh#A8|CIjg_HBgmB#CvFp%q(pUng(s{i$?rY0*h zHz7m!N04W7*Mo*87_Gxt$GYDUdS&B}*gV_x(3D}T;x8E*CuV0Y(ibdr_5g;L2|QS>pMRlUIjoTGlneZm$v57 zyrBc$+>)e>)9%|Be$&fnP|gOw3usQLDI0~y5wTdYcctPj`i!)22I^KQ^v{$B45gqC!r3B z2)mLjYu1k1z`g>%P{_! z`F31)(^imbslCMNtU54LZO{Eb+@ z=;rTslaAGLNmFEmab+r}ST=X_e|qigGb5JO(z5jRor3NF4LP#%`@3`E5gQhwn!B>& z3$HZP`L#_I!nNG*-I8vr z>WPATgLx9_NadPr;PNoG+B+g(?Ch5ewfjg0@>#0C`?lu})s#m#{nQu^WjGlo;Ch?t zbYeoFcAf5ig;QS>bJkzC-qw^D;6|Syh^i+WPRjP+wmsA!%`kAFEUWVZlllOUpfUhi z0EDB8Tyif`8A~El(5&GZLQZFC;&X)~tv_Se6%mE9b_`*F*tv_TW3MY zGLbk>qybx>NJ-;mCO&Yg8L-ZVh|5p{f6j~6eD_hoaH^WI0ae8=Pg2&*P{d!_U%Jvw zt#|f~7KdRcJMTn@{iqeLG6nYiI@w3Sy>*+BC!D(yj<6UPU&Ou??;D^##8T(B-cTyl zi4|@kY_GW_>hR%I_Gpin$|93C$TsuE1R{v$+S`ufosD11! z%{{KEa+bEj%$y=|O=R!Qgic7%hD|54LhRVsE3_Psy+uZ_Xr2GzyJa ze2{iZG9ejKOC&XGOE(SLR4rNAU(32OTWc?u{ae1=!A=vV4r_gC;L$K4mfn5ftV%5{ zT-iTGL?)C1o56It((HMl|HN@I6gZpxP;Ss8_(p+jDIBQ_1NcoZsa_^}db{DqP0vmj zVyg>-lFnF*A!KWGf25J=$G3XYXJ6E?;6EVGp^%$-#yKKR(nL~mYhI9+WYDi@BHrvY zw}jK|%8ZSu8c-wdjCkT`N&xI$N}j1mu2oBIW9m5Fs0?! zr$mkwqW<=saxI-+lCYX-w1Z8ALHN`KjR}b#bEL%-IKc}MmUwD6(^pqj_W9cV+BxYP znby4r){xXwx0n6C@7XTfo|9M(v6K`9=^SWIQUznh`0Wsn>ektvjkyfyYk?J1Dm)ml z*<|B=Gu(y|jpsW(A3^jVp+(-*;2-Ktwj@TA6g95AOXwSE7NlQx^6hfR9x&qFdalME zA?iU!|Lc81FwROeTQuAgC`WX7up?5ppZ>8waEBfx-+W;z)AUc7Um z{`%Z8q>WTAvfH_hJfCKy$~AT)vOd$xZoM&fRXMW(pa7r9Qlfh`aCjGn%3De;j~i;Ni~ zE`BM`Q)HK=B)v|;y-OG@;yR?3E`9?7tobW(bjs+IK3 zA z5rSz1wXZU0Z}gV@oEybSuedN~A$9}VLFlIew;EzUU!7U}#bwpEu3PLEE?yg0B&*o{ zN8vv>LjsCM9T`_S7$dWPl5FDN+f3hq%^JC!s#yC3x4?;9b;cg>$pVdwpTUQYp4TXj`-GrAZ3w*~n_a_YJ7_Ru;|b3s_R{G6G3TE{he``(?V{I5Nz9 z^55FKZ9;VtD4{HmwZavs;rDDZ}wC$Awq zC=`bBc1GSt&4aZi!T+e{rIL4zdn}8LI8@7-bU?txsg|i^EOZQ+IAEi!?YT_oD22CgH@U>s{yVyZ#YAlk*oO{nnm&v16MVKdt zyhJ9Qn>(vQlcl_PX3$%*)J)=ER2D;Ab&b7UnNVr{y&|Rp1bifUg}U=;?S&PoM-e@1 zTfXq{915$FmOgn)JmiFjyjAekUF13}&nlr6g5yF`@f63org*wG$=dUQ+iAD~yK@Iw zsQxvcg3v{slHa-x(Ktq9||=z@#q5<$M@w%WP}IY3l>+cC3=vG@zrvK>R(mD zz|qSHe($5A%ziVqC-dcGvuGlIsJJm^IICGgCDrAQycFR9D?!rrmL$DS=UEZV(DqqC zWGi|XN^XCOb=mXg{l39@1LFL8%vyW(Y_6ocEPsOoutMR4$!JP<937&tr9hycbRlHS z?!((*e0|!_aa~srDT9Yt?B7x_-$4;=&4HgOy3Cn+m$Al$g(c!O2W`dSYH1>D)6fw2 z+3PIf7s69sP(@&<`&likEktYD*Rc+tl8 z#=!>X(&*d#s&Zuh%ZWzIsW@SzA0jp4SU42Jl4H_E4CA;ErYaLQqO=6M@efsV>e-kp zNvsVXMnU^>XlDv&)>9rwYBdhrBc^liPO77r9b2+V*ix@4YQ}g=!1my^FdY&}nJ&2O zIC!s9?KywWn%Wi!SbaGulY7@1&1J50UAO=GWlr?^z(!(JYcPpGt|Iy)$gpa~GfnPn zI&Agf3Ol~ccft5bWT)MI_7T*#cvr-)V>r1oAN)Ny1fc|>bbqn!8`>T3SG$+;<&AvV zJ-+?2{yRSF0bi(FP3qZg8~v%|%a-v3*z=YTs1ykx!X5+LeA22Y%A8W-sreCfdGF_6f5^Mu9#mj>(vy>)6P8#Q=jmPEe|QN? zu(Q_kFfvUQ8U3C)ZQ0Y&n|qoBy=gKimlp#3_o_X|d#6F}?lEh5HQ6q8sU%xjppPqOG2FBo8S6*Kc1$!! zKZY0X*`aleugH-vq$>RSB+=g4Z!%*H{wPM!a&+d|&uC6wGqw8C;Lv{Z=4@^+YaMlj>xOB1h$`oPI4Qyp^?EJ7v4W}J@`_E1i9 zvt@fV$<}5@@SFVz*0XW&IU-TK!i8SxQMv6u1PL%2gw^;AsO1~gkfmgJjrd9`mpE)Z zb-_JH>mugHW8T0w2Rs`hvu7A%L%wrIY;@;3vF}?}-PcH8dmBzFFqwZyj#2Y1(l5pR zPDE*(MLXVMwErA@)i`O4gpz_fwG=gJQ+TmuE+9!bIIip{@{m%KZB4R;P z<;PH)+lG8;f$2M0$5;WuTsMu>c9x56_iy=No~+UgL#ToPGq+lI;ua0&^N3tHA#A1F z|9MqW?&qP>F)gPfO{DipLxUIj%PSz*%FOZAV;kwgqRR(vbd2?fnI23S(&QYu3d?IA z`->&kpIDL(q?&32<5||&V_I&3zyD>s>REpPIN zPvPG&6xHTHUb0I!*nd503Fpx=o{krjCi``v4^ho=Yiryl1Np_-SS+c;9#>xKd8}?w z=?%;!0so?yQS{H}oRIy&p2QcyY}$-kpW?Q@X^-!p_aO%-hiAiC{g_|opum;9WZM+Qz^nU*Pzw4I^Lz6P3i&sKPzlFQKS|Jcgi=0Ga3J4+w{xZ1K9AdaNJv9% z&;$!*v;HX8t#DkSU|dGATUj=9dkDxjwPCN(K0Q9BdEp-0A@!}j_>@Vy=n|uWJC&`tWM16Kx*mGSC64Sj_0KDP0Vq1- zEqTn(znCE2l{KH`Ch|Bxm~5W#^xG(Kf_OYzFT2YftyQX@vA-9LS2`;?P;f(2ckv)0 zR!hZLrV+#rL%5?GUq4g>$=DO{A{cCvWgLB&HZ_5fw&R|040xpxsoU>*zn%Z#;he{f zGzkCR+CWFgny9>Huq)ERhQL9~{6-O7?Hi6WxH=Jg-6ei5s>!Wz|6=W!$aU?*Jx|5% zR})N!umh{5Z>SIO#S3a_9WF|Clr6Tl`;$@$>)5uG2`i10z zsjv$zXsiBeaRCH{CDLxLT*LMw`3YKCeuA{yZF44GF~g*FeV-1t3p5hS%1jxzh_d{} zFDh+r+@gQNwW}1qRXPvUyN(e?ONoS?J1VCXV-%A+N^r@Vz9zV;w4}}P!5gYQQ3Mlm>ereFtZqEPh>eE!V})itAFG>#$<%ugj+#b& zh-y$?nlBdAo407{_mUcuZjln-7Bb#xbcmkI2ptU{2;NIt$rjBf%O|_JpXQXl80ah( zuGE~+WT5adCZK0zI}s6XU5=llI4eJgveH z%xv$%WNhYOV$NjhV8`rf?8wZ@#KH^`5cYI5HnlN#B{MO%gxCv`pSE?9lR?Y`$+b8Y zSQH$^&8;9Z-p=M~-iqp`-ZrMZX5_*`WCEUio_3CQ=B~zMo_4nOE_|MX$N?G7W)^&^5>kI909S(Ke^vGH@L=*_ zXL4}1WM<{%2$DZZ;xltFg_!aEhTLZCrp7EBJYW-E4pT6PxhWUen2XmG%xS@G z#>Qg8Yr@0B`i~r0dly$@dsFi#Ie=s)2q46q!`PIS&5Q?Z#%gX1<}fui1M_m2aD%zc zOihi=*o@81dAa`KS8|2`VPtIkpZYw>F$3fnv$Aqp7@M+yxp_=Xz#Lp$TwoJUPF^rK zpp2ObC$EVyHwQVHnJJ%?gR`A6unrJAV@q>pYe#cSa4KP>;lBglc?VrX}^`{ z6L&T@1~S>y9UN>0$^VtG_Ge51h-oupS7QldS95^vU-@h5|KzVRu(0y60P+D_`4k+? zAQoQ#ccf3d==ajeKwN-nz5eb9HFKxG$QDBOJ0AIrO`oD$kle-C-Q0})Z>Oo1vAv}^ zuup+l`9lWzAC}IPgNKWk-GUQrYR<+D=HTGw0Gn8tbA!z|EI2q=I5^pPEx7;TcX6l z2k_D3aRd6Jtho4VWmP39*;kT4N;!}Y{@&Kq&IMKkIDo+3)mc?qj10(bCPUZ-K?A1> z-~hSn=*Fflj-txSul{5r|4;8%vwyPHr@&9L{wIq6Lm#Rc@F4`q%_akAL`)r>T>)$a zU@i|=$0wW)U~J%l4akm%ssJ#fGoT=V=b!pb{@|l0Z222MogV>;d`E+JCUw6ZU<=cD8PSZokV@jya0Cy@ndlQUa9-Bn^@U zDS(tgWFQle8wdij1-XL2Kx+^5xPVlFak2jmKf!N)Wq`{B;DUfm0S*a}1IP|!{F@*2 zv<3hTQ2y;(7mKIkAAkadA_fA%tv)_pPyvT7B!fWr36GDzK0H3&=K;q7EQ3H@_W$O0 zcn<>cTmt-}3;61%RL}EI^?1Vh{*b z2RO*$D{z>D-XFgKV^8Ct{5cRv9q`qwQ4r`|1_(rL3CPy}-`M?h-p0TD_J5@L>%ZSe z5WoW|{cy1G@IYce0z4uT1`^V#H36Z}o&|7Q$n|0ME1{!NC*fWZK|ZpQc*$0~MxgQa03 z4`g_r5n&JwSRx0*yzAn4yBiWr7?z1s6DoqG8m4SyVvYjL{qikah}9kk;Va|F7%tW# z)>s(4Xazhxb*0anZePMDVin9|ZgU8{hxLj67JR5GA`JYORiy8nR+3K4Eg2JEc5P>& zNp^{^tvfops0vBE$qxxup-WHalCi_2N_zJ`bo2rhzDG2o#70^)icw)~ySOG6k1ZJr zvH1&!k#R1n_se@1{)M`bKP6C{hodKigBb?qf?5zhyiadv8#WHcYoI`N zdQ`@lH-X7sJXnQxr-c_y;!Ry5<69ZIXRt!go=Jq0>o9I@CnXM}rB598N7#oKi`E4~ z|9S<_G7*>?+anhgxe_>iwEfyZ!#wLBlZ^A=iSS=m5RtxPU7q4~cWJ}e#(=+IWzI*u z z1;~xXMj!|7q+@rZ^hbS(>TnS+W~OIWioI9)WC_I1Q-K%P-9J-9Lk{Y`Nh987SNM3e ztB^L3hC-#=|Ti}%C}=W*p9DM^7D#b zgQeUPJQV$Hnn2POX+K`=>2y0qOUHjccgy$%h@-j>5*D`UpFT1-y!nLor@%rJwCBR} z>peSj^tb5A51g`PR~&P7zUe_cAU*J0L{3X2P$swF(^q>TqWZ<~N2N~}lC>M+ju;Ow z;44fbOe~2gT{3FnOa&#H?6u(I>R}uzCS#U^8IMb z-MwDX@M6Z95fTz(iQVefN!liS9Z#3KU~x=ZmJKdZsVC!62XTDAf6Xwj^gFHsMMCIPD?XvPH%w5hmCfxk z*?%x5n{wrnPpHYv7@0hI1PPrgVOfG>1Qr)vsjtMR64_}hVR2P= zk|5O_AJ(q~N_*CxN)RIkDnc9#U8UJi9y;E;)8Scc$0$AX`KY&iIsyYq`xgy-cMbGa zSKKUE>;X(}D?(p!)QhATypbJMT5QE-N2ba}`skCr5yequ;(9`;2H|KKdD}%m>QbzS zDx_5ChPh-RwqHiOKjG}i2|tIJk#E3E)69<$GPQQHEO09IN~%$}-;JUMZPq^LaPEcV zk)Bkdg9}nkv64meH3P}-utfUo>E)xTk~3&CZ>cYYauqInciA@wHS%^$N zlH*>92=q21a7s$bP6e^```UQrnC!a_f&D3Yl=t;EgXk&*#C>`Mw!57~9InebLr4vk z({C;HqFXz24=xN=!gH6XX-ZSOQ9k!Jd@Mbz@g`~0-cS+r@^mw^8NTG%{j~WzI)ZD} zkM$HMT_Ik4xuVS0HX$ShbiP>8RrpX89N2Bor9x!G9A}FQ*A0DV%B|BHdZ>0@kEhSN z0k?-9c}Yt<`_CbmC+QZ5-lJ|MU&%xD`wUyi}~`T1Py`A2>vb}x;Pif0O~!?+&0lZtw}b4K+P%TFq9Md@zVjW_q% zavr+vkUhoeTFG2`L#FaA!+VwE+D;0aZ@W4%A6$0cUAz{qQ5WZ-e1<-jNm=B;hUFvh z>t4XRTvmc=W?!0YKM$2I4K)JUj{H2@h6l~M&VwRrvDOCO_oCKi#;0;dtIS3o-cI$D zp^h|dVJC6VMWqGyWbEzN8;^^^z504>Mc2$mYSj+zBBI={>^V;^fkKBXxH*jF>OiuW ze*1B4Hv01HdnK8GRP^AD-%Nd?B?8ok8pSkPHk5aJTMGy03L=KVL=5cXQnv=|9G58S zLS$7|V_&?H-^{+dtkZ3xcgW?vG{_3eoV6!QYOD*REc4f@R?D1-l0V()pJW^b^Q76^ zHVs~KQ|*RDG7;kYD`2>5oZ@B?5rJ4qGut1$3M9d>lhCM0Vz=-Fw(zJ`=%{;VroM9> z@(=@BG-%P`hHOXqRE&t1T&!kQ=`s@dmcSuw&serd zMee$IYu~gFJW#qiHcs1?NtHEUXTnPhnKl-oiN z?)F4^D-9+yD6*-#Ope=H%F1gmkH(FLG@%@UmK9>JTi0QCT+L_HiRxsTGeOvTI+K-E zjG$L9Fc-^CD#tWd-w5IlEAb7@@p#{KlJ3EC2~u#^K^ehMF^dmaM5q;@cFuUc5@57;&hM>u(WE5b5h&lG0 zFOuwkc(w80aE_`KWu?r-)Xy+1iEyat(om=v{wUN7)hSucf%_E5Rkn>&`4g?hmT^vJ znh$PXwSo7hlW_l*!+j!qE<`k>8MiRu=d(Qgk6pc{BGVM|lLIdsvkNdBsUlvmMpWTU zjVtPoKo~FVECXqjLRzo5qBB}WRG?_d%-Zm$ThiXyj215JU`w_RT)aIlt1q_^#OZ;r zul61Fu4L5D>(0!;_@F!7#z1B8Evs~<#R9ZWpedtPSU2X`sWzqA80L_i+?YLB{Qk2@ zU{~O0G3BnnA+h=l(I2uFk%&eh=qV`Z7v!`S$^RDso4|mbH=UnP&uql0RofKkM=h0|ip}nGFQCH9$?Fwf=^CloSv{4Wqf3Um ztxWS_nX0F9ny_@$I6fcKNZYn*xfWQ9=C5T<8G}MXwWcD(Icivxa8%Y2nL0+lk!OjG z>Qqw(8X+E|=X1Zq$L4;Quh#ltQu);mYOp+hYtHUDcJiv4!ZeU%grZY)w?pg8nx9k8 zR+@06Qq;6UHxF68MY+lvZ5Ebq7XaQui5nVl16B;FS4jn#gw@{7(reCm{{T%bx2G)4 zBFbzCZUr=nBVkmvBWh|4MBCPx4Wpk;&UHa8&n5PCk?UVJAuVvbEC`)FI(;`*SVQu_ z<}Q|?c+W4qDP6|bO0KZFASDC`H27rlmZQ<(R_~e36H>`1sFGv}qjGIMKsqLvO{J5R z7@@Z;+)p}cn$=zT#S z>Y2u>Ind|PdNNOuB9S9pn5)s{ruCp@JD(+MJXdJ*erI)io0(fB)Yfa<^Eo*JL!q#a zYr8np*rZa|P)%^9u4+VLDn`qZ&tA1E2t*=bG-4)4^(~;H{x`u#XamJsI+4epBl9+v;1= z>oYm8MHO~Nv-8(4Nv4Zdva2z4^@QAmByxg7l45{mh^Mt)wzm1{yz06jF<5z6yxCG( zOk6&(ud|I(s)?y+fSMpCjiYIT=DU-0`F^p{mD$gs>2h^09|0kWnk5#qe?as47_-aY zms6W+dro$j^LM`X*aJ>Yt}K6E=!dzSKnjVL}5md7+enpd&VrPSIPD%6xU ziwJZ+611B8LE~+P!=N?eg<0CwnN5{fU6KY3mcg10q5}&OJTBI4yqByFnNf}E zW7fuDb>Zsw%H>H^u98@$lGKTyV8myYZyO~Q3eM*0zt_*&MpvKK_R9*VQ*S$bzN8tu zA??G}wVIlO+H}JXUo+(qs@UjhwD_yI-F+pgbDEmP%$@#STP^~ZMrYHPK(^vF%jxG} z!EIs{d&p{B38|1FkTzMckZG70+?AV5Wq~=C2K8M^hfY3$%QVjN&fGA0qIacO3evqy zPMFrv)#+84l*+ulViJW?v^HZ^?o`b@ZUxMcFly zZs+K*@tKYn)1Zx(Vkh!R(b5BKmYbW{X=Vp0hO28bhebLWgoSNlo{)1&)?v!%8K9&N z?PjWLoU}km$OA?WOv8d&HmtX5T-Y@>CYp}5Mw43DRbHi(oi!l=B5PS~ZK7&=rPLHu z#V1M4$4?;ALt_moRMXOIL)quhhv;b)Pqfafv&tc%QM=f?mV9Ao#NbR+r#ACvOL=OU+Gb=IdIeaqF?abuZ5{ z(D(9hXM_$O;|3g~7F15y`q zw@W62qb%`T%JcVtWPpOIYuw6mwl$YB8jvAFB{zbYLXh0$-$N^qCW(fEqBV@2;`Vfn zqOQ@xdTkYq?TuvVH&Zcl9EnYm+b;s=VwIVC(s*X;qhpU-l$mqw^q0eSs~0NLm*?o9 z4i!x7TKTbUnoC-l79sFJ9JvzcAh(@cfi|)Fb8-~QdO%Qe#i3<-kfD>hYo5$lxt2h4 zL^nvP4I6x$>0xe{Elnw)nuZOm?I!fpX)DreX$3CiyAe~Y`Mo25D~6S%i%yoam@UL6T%x|XzjS5p2O+L^VRB*l!9%(PKz zL25?%GdGORjI}wo&gjW(78)BZG%QP?TSZNYIj#s~qC&*C<$CO9Vq>Up%}*K=2F*(_6}8tCgz6GE1E=PGrvyOT#tZ(RKy z&ba(^R(>{=5Iv1Z1LECLV=L6gtme%NZrm~ol#E0i(rs4KY|CbML#H7`4YJsZmZ3Jy zN_qQ5xFw-$fsalnpcD=HG*~ZyobmrDq zPiqU{RZTtfy@sBDc;Cv-l-!KBlC@rRFXvY?Z)ehaa>b?T6Y{ZMCksPR!(#%%K4;M! zi`iU+G)O6KO^7*cM>SVyw+;E-eI**mAmXeAT0oeg(^8#1qV!VK)Y1&6%WPLmY_`jE zyLq-Ia^Y@6ruo;cRB_lkTnc^GXs{{ zcTs2$4Jq|v4CLP}bu`#fMJ&@Hs^YD_O17Ebi$g~trqxDvdssDun$vPd?81XH@tYKA&YQw6D*m7fx6mIQkxKNELEB!w^$X7cI&h)HS~nv+fP%{wslWvZsfHnh7j5OlK& z6qXYeVr!+e7fP+8ms;f2qVg~njaMXTxOJ%#FJY#JTW5B2R=B&JKOJ3;wlUnMot=8W zLx)#G4Daf)ySp-iCMRI@w32 zrwh2+m`xQVG}O#2(#DIFy`3Ja=EF%Jm=~r`$WrvE(hdM%GxH2SMut0C&a-#LbhsHH z(8+rlSqW@(J22~So0D5AQV@x3%G)j|G_yK00+TpH(C0Yb>MZ3lzj(>qSehFoIw=jvW9_zT7bCBDSGn#j+3)e zY!LG_dMSCV#Y@$U!hkG4J0i|nUdKxMMLlzDvjR+6Y76q~(v;hqyA-|i7F1{g0h!w~ zqZrbyd8yq!a$=?@4tWh4nw0fr=h8!57UjKFZLx)9nY98CA?ZozCap{HTE&olLt^w* zXk}BbNa({-c1u*)`E3;|OJ(%bi>UP4EAB`kyhg8wm;z7M00ts8nfdD-FX5~;o6(CV zv@z1AxrVGW?p>m>Mj! z*90=NUp5a=8wuA9K(2!ihH>BKk?5wqjVu~l2(X%i3};JfU&FY%X>XGu+{;-+G~#VJ&emZN!KDe1 zXmjZ|HJV#janjCJZKw6;>hqzh9>8YFUeFxPJ#AD*=( zD_Fwq=S|CIZpB^8U8+_h^CH7s{SMsvcx{nOnP?33BMCMN_1jRknZ0RSPB4u!8k4L~!^4n^|*ZA5xFX~RX$*;bN6 zZ3D`zo12m??)TA4yRrl8e?ZYGWVQ?y&B06JAvra z)J?4p(_~u+Xy8g8224ErpHe;wW5Gwuv#a=PV>???7Zkmv3+mo+pvfm8kkN{4pTT1kd9rCSDgPF>tfNllA^u!zx zgfc*r)aB{3mb{K&In$Lc0mxHJl+l>^Sp2|0~Z&cPp zS^7=6a>r>k?tMBa4ybG;&4{Xx$_KOr0t4c?*5@ASrfHHIhp9HKwJ_n3#zSl}hEm2w z^+jf9?VcY-QdEF3($v%g0c{d)Km>wmK3I=)gSC?QI4;Vv;XCA9`p((s)YaY*tojV; zxYc)WLh5|?PE##Nbu0M2l-)_m>`SdlR;$pISwQJJ`b}Wi8j+6C4^a#ZAbVFwil58j z>T_(#qE17QLhFaMW?UfCIS6w{Neq3(R#8kDOIW9JwnIV=nt)Zwro<8}(IEMAHMzss zNx-g1ZU?6e<#r7%V06jUD@pZu)UzF47SMu4n>p6LT2-jKC8iarM$9_)11S4GeI}=65XjMHT;ELyCNAXJL9bSc31}pPYpIPrY5!nSzQs6)6eMnbS+ijw3^WR7S$akjG1;B zv8-jMoQh3sO>je2*=>L?JkLm<#bxXA?o(V9belhDQNaSEqYQ_;n|L1&uPSgGW+nP)Ad3x0HR zJW6C!>Ypv&%jod8(0Wdcx~Md8X6mZxIuTaGXIS8-pPpv8wLn5q41n{D^eOyqD*hUa zRdkCnSFqQIDGKcUUC(CIcMf_#pm8qBpQ z(N5NrYKsCwelR^-SCfE%5cA^SXUjRaP0J#z#T3+mCTR!@HF@h-naq{?CbrSka7?%m zgautCG%ccGgd>pD+%}-t`6LBC5mH;2Zb9H6pszxj#nyG<)|yzeH_StVTj6P8txdDC z&qsw?qeU$1Mw!V8($ZH-6WC8rSvo7p04VZ8^)y~gLIckR@PGfr05K2%0s;a70|WvA z1OfsB0RaF30ssR95fTs+Aq5m7F(5J{6(lo3Ff;~2H9$ipQ2*Kh2mt{A0R;k;pTvFa z&PH-Gl-&5wjMa0EQ-xEtOzcxVwNbGU&tkLKPwDSJr`^z#&^N2RyhM)1<{Mo$^frdMlbP;_20QLD9+z%kOwgkwWGbi_xxs)N!?UW(cpz3^;9y;b7 zC7%#woiP`8dQx%5{{V%ERl#46Oy)hh(}HzF_>Zsu0K?o4(354B`jX=~^+P>7)J8>} z4}n6_)@k978Mmiygg2tWJozpv}cV~5H zcDc@}&Y8-o{8LJ22rFYd7EaZWs}KH_KlXDD@~5GX{{WymH(8r*t#oq9TQZ}_YJT;7>)!kkL`bp9eK>OSS);~!u1cL%l%MDN-l%DQ{Y zr@OLy#-8qbq@L!v?kSz>AhMatsqizz;$Z}J$NvDebAK>1&<67(9SX-wZAw!!)E?Pb zmy*O;avw`evU2NQE9)1pwtrZD-=Qxn{{Z2=`*mTb8hTm$*?)-WAU!|aKUksCzDy;C zqVCw{qwOV0hs4p0&Ftzh;CUXOVTaT1hYsK#r%O9eqg#*ul|SU^kN*H+{JQ=B0OP;r zgXY7;%FbG)_dTu4&AA$$;2F$JY=_5eZ&lFE%lAjL4F3Sf(^UTe!ZiwA1+i+#XruV@D7RJ{oi4NDtygpVV$3nNYp-9)*?R6J>d9z}l|_R5o$|M9 zcB?w>SK9~sQ*RtzFHR&(e0Kt}N3}bz3GAN6?mo`l`&*}K$-wGU`}D^D03=Vp{CmRk z=Ec^e%Fb&#AmnXbHNSt2V{Pdv#|!iS0CN}lE$N&5js}NIJlJ}O4@LWf>Q>=gS|wpx zCA{UUe-gI7OEr~S{J`7tVQoei#3+Ld&fOeMO4bV97RAb}_cA*?as~dFu;rFM?wI)) zZo9;;VbzQ!-kzMR#0Mh0CS>;60&&Y%VV20JArrdwODyHqj|&!hC;fY}(;Z&-xb(+h zPq(R(>`M)Y4&Xhd)7sy^Yj_11N(SDP?bZ1os^(j9ri9^CCqonYEbCd@pTI#i1RXKU zi^#OEE+e@~ReE-dPYV*Q5osZ^be3e*747aNptI!TyzXHctP-ZISDw!uhqp5wZmK3t z#wp8&%PR)YdyzpcN?m(w-$l&5aKmp2;M<6q*v1pfeV5+9wS zF}w=i;M=l2!?kLqi(V~F6jUyvlw|hSA!=`>J2?}T;~W+%OV+@OO~2a`iI1_2g=<5u z83w+_N*6g?7&jayU_&TQc>K#^>-alkW(u~t)qNkv3|apG<95Zk#{%5@0Tt|=Mbdt2=FHoCQ9{xtWumHcF*S+lH}|o6Zkc#vxXV4{Eas`P}xXw(Oc-ysO=gMau1R z?QX^7eTyqD8J0gBV8p{+>dj_FU_F~_yJc;vSZh6UKluGYFKt;_+~dT5ul=!nHSArf zJR2Ji6gHJ{6Ox3+M^E|n`p zqfJ^`_FS1fisX^S(KZPF-;=E!zTF(VA)?c4b+|cO4R&(tlz+D!FY}OqPyyLSMQ2K^ z0eB;}*N1f3Q-Fw8>albO#j$wzlxTigE)g%uQRI-6Z1v*D~|Bv#gQx^z5F- zMV0dO{AccCssvi>k4{0ItB~uBnN5uMk8KebR|mQ&$%V;b zaa!8SS%}1V`1rv+tpxi;Cd$fLRV?l7+qY&7$ddAb#zn#|UBV3Ogj~t)ID=&yEsZir zHpBJmC&Z`xuH~ZY1QV8}F1)gBR|I@Uh+Z>Va~O8&^ve0<{tUrqaVCCd>#_MVnM>&8 zTw2t=-Mzac`Na8C>CLZBskuuZiq)$f<9;Kk`<8tt=%TWz+SP3XT2YfB%COU zuDBJkra+>%<%O^8xbRxj3l;2RUPuYvD$AhHLO^I*>wh(^y1J|Fua%rRh<&uNF?MOJ zim9?>0=Gf?Wd8u0Qyl|aZ5@$Xe3GIge)E9fmF={f&WXNp!#@!5zzIb z{V#D^dv)EKSMD*p-QO1bjb+z~_VZXqYK`A}_i1abO9hJyBQly2cUA&me4Z_4Kyl$5 z)TYmE#>#|DT+<3!Q-pDIi&o1%Leo<-e$hXCn{haIvEa6ubH5huq_ehPe=5f(d%kMG zAlA87BL!sI#9gIXRIPZp_>8j02)5*R@5FEH>$kUVf2UIF;gTxW#-`3l+1X+~U5yLa zWoT@&iu*Q$+{lgh5;SkfbR98m;SNK(Qtnxsn6Y&`2uJV={vE3M#jfoHu&GMKbfh}) zg1rk@Cxh17tP@VD(tB=Itztd4ExNFQ-6O_{t9;hE^6zrF6=<=e{?R{s7nOpj!$ywT zPwJUARFt#Jy*(0|vE4EbE0UP+!EyY1a~m0+3OoV9v8hz9mv2wYX0Xe!p2<(9IFG$_n$xd|#abc(2S8zHJdePs2GA_87VB|k)mNE~>6t9) zrVw<|jErYy$=G?=X!rr=^x0)5lXB%PEs>jDmU_K~ax<;A0Cl!lzhmjg_xvF?ih{{YGCo(vNc0xlF7Ydx)V+fh3>qIU6Su>{^M?qY~9#-8Gl z4qY=6{?+v4b}}-vTiw-}Th;EVp6?!e%xUkjr}Ye3{ZAHuRK=gwapCoPBl@ilFZCp; zUY}CBWIwl-U(C1JhwTh;59A@}-Uof+<+z^YZR}QZ)fF5XaeeBqzU7LG zk|CxBUOagy7NKUa#@96M@a6J0KWn4g+r*H7f?0bDB2)3q1jVnDIL->!wM1RRa)z9Jo0Ok8$ znZM&q+`~b?Ji^(=Jr|a2Yj^G6mtnbf2tAM?r9?EKovMU2(>*0BK~d8ByZ1do{{TX< zeAMG|k+K9nNUi~?_F_cYH&D|O^{JBD*d`@muNO42FTTMHA82EJAINkEP+G!wrzJCr z8QV$O5YlI2$W)KO9UPDQAM*bI>(f74s}+)-z=oP3uNHB`-teG&9gDEH?lC93#h4b+ zlW4}G6sQ$t5jVpi^UHWu;W~e!-wWO!5e~x{>5EG}i;2dH&6d;Y*Yh~ZEcC4nxy^hoxp1@ct^MYIumepkrCw`xkWN)40%N*#)d-(9ShZ(r)Vc+1Y4nuuXr! zI-k}Fo&I0{03#3C{fC!CVpgkmwAnGS*{&X$hdUV9sNSJk%u8yvtjzi`?X%XX6xH&` zP{zwEwFX4gw0vqiT}?CtQFWqMUWA;o-M9qI79K(6sFtVn>J+U-LaQSVjS$89anw#G z55JVr=}W9SGS7=teA>SxSnf888f+}?PU}Z~1+{n0b{+$%-FeJS)@K^b?1K$jou-9Z ze+Km}pxT>@0eHRo2Yg zKTJ>Z;pFx$$@K+f$uKl;B9q0p(EV&dJpq0#FSOTY9%a7bTsYdf^udrC*BT-;{{U!X zO+j332!2eXt(_LuShns0vs{hs#ZQq-Xj}1|pl<~K03OFho12`B=Q+-67Qq4BtZr~- zhP^{rmsUqb`?!;3Hsn@|>KRN9Zf?$AUoUZzXLjkyj1@Tp9rf*PGuYj$6eWYx^DKwc zGo0n%-;YgAN|dkzWuUFm6O{zE-StT$g7c6Pm-&L0K<)LDn|7@6o=Mu!9Kl;BYOTk zANdVJdWWsqdSUvlc!9t28w_t=$m5ynS7p_TJ_R)u_Z!QraW3LKskk|P^Zg#*$QZ2w^AK_n7$*E&atxo#rm69z`-bV4h5*tpF7(*De0{4D-Tx{t$C zx4GJB!KR3x>3*k}iyjG@tWyhQM-dLh@z5Pk>&h%=%}ey&;(N%semkmQuNu;DaLmoX z6wuYUS&ZD*B?x&_@Nzjs-!D!p?wvSUS>;XZ%BrzzupdmU%73*O?Uu;K`s*=Iml|OV zq(S&Mh&pa=6F+yd9cma)M({D%awA9aI+k<>Ev_P7;#X$V_>3t@oux5TQozKhnO-Qs zq&l@K6qpW-)YRb*#9;WRxvAx#SO5?(nA$cyjn6XIwBVd#zP0I|&67Zqb!IuAQ_)T_ zYkfNvY1&_AZ<{d=@xS)6i!Hfo%~_4y?2Aq~{-;wTbp4eKrz#jOX!P>umv?M;ylfD*RWi&GDOqpku)Q0C#^GP>SCVQLm0d zBJQ041ZooL>DhhUUr+O1V)CmL>htyLL&|1V4YWGHr&+O8xanEx_G?cXnwk^D_`}4- zUm=K#ww3|Jol3;C`9Fb#bpHTL81M48_J9&-ql5$S)Z;k9C7<@88-;v!_P}~xxNYuu=uMUqH*b{R(((QKVQbr z-ntp$R9anf z`wIK5MY&!(R^=2tvX&u_3p4H2UYegH(TuF~u#g9wn^b}zpY1-gN5d=pRT02>6|W}7 zP88Gqx2w-uNY#jIoLEh;g3=($Bdsq9CUW!M^W6|yCvXTY^V~4m9PO)3MDq6^JrqDA zH=n=4_4zAv$;co=Hb&MhjW^0_D=V9wT zSC^)gvcD}2YQp=G@^JAV+PX5sG8@HC5ZPm7vV0I0NEn&9xnG%={u_vMRV-8u4^FRk zFW0RCl`95ZidOp1q>cKXIjYQBt;xAPF|nBXZZ)ZAqqkM?e)>1xKz5VrBTAFWmHwyp zfIuaN7$F{D`^z84Ou0!SY2KmAbArj-oW$5Nr#rCnU|2zIlW{8TrHmT-$2)QvcVXo_ z73nIkPmDF`wIy`^)735**f{n+MVxpoqmXI_y+*3(s+wCMqJ&amI~Dlqjj5IM#o_a! zp=?}3G4ZqNp7YdcmU^}=)cPJm+k(Zx&dRckb@duDC#6y>$zT~noNGp1TIz-#kK>}9 z9YymgPG{;Lud0tgA@CdSFIAWRia5=~{&lrU%-8hH z5ihjef2Gsd!nWn1F7;4X5|+ux&;I}tok6CY1mFzg2-FdOdVgyVZE=%TVOOD7Pq}CD z`rv8m_==+gC}m@HJ!&2`sORMX^Qp#HuxU;q&qe#X0nyKnnt*j41DDutQ~iCD6mEG0 zCzW8Uz?QUV>NdpX@&mO|Jlm$Cox>_W%D^y73Yzw3th(2Yi&48FPYLRoM*ND=tg{xh zp>F|`+oMB@MC8DR5DrteXVD+Me|Mp5tYzfb>!_Us^-eH9e6FFHbe#>4CKHj$cw^dI8uzMhMTw_q^{pxEI%RA&y98X6@q3e?z?K@X<+NuA&^r} zc0r@k@D3{FCpz(N?VEqaNd5x8I$YAU=M-@f)&aPy!C3F`9t-(MdZ_S=xPmJD@-0`r zd{|a0a)aV@OdN!-%O<%sgG4C|yCat!^*=-WeQQ8Kv8*ZaKXU5U_~TBlL<5qmYyt2) zBByO-{IR_Uqx@HXSL$Ydsiv-phJ42jOB~u2ay@pGnI5l|Z1ntFeL=CRTn|*~Woih$ zsu=$O;OOUw{h3D*(Y(r&jC1)@@~n*>in}_BH6P(!j#XH2eFEX(a4Y*f2%M#!Cc%XU zyy-lxV`a(w7xd{;^LHlaMB=~g6jm~==NtB=6p_Ufx(vZazV6xyU{N3W52vdu36$P7 z6ZM%#ajP0lsjk$f+>czPCQqwnT@*d6o|Tej9T=1qAkSE>jX3s;sg9x7E9H&p_meqv zxb9HCIuof%M;Zt49Wji^UoiNUuf+$I`(+$${#y&~XhJ#|t*AecZ*Zh6c${BKNUzuJ6$2LfsY~I&L7` zQ32d#(bVE$6I~GTWBiH)=PTn<-lCPP-iJ~U_7CR+$ji6MBb|(BQY9UDK zrAUfATC8B+$S`!rtZL)r+7>fuJD^M7n}~_Hm9$mxJV$6D{qR5QZZzg%ve#Aqq>C-O z>*m6q&6c)5r*M>6?9?zLeRnObsC@Izx~vx0xZ{wq8jQ8DS9Q%X#?MAOHT{42iS{WI zK*L(cs6kBZkt_Ji7iDYX46w?Gs+(?SuM)EY zpa)+jyEt87QVoACY_^X~N`olI5v%PANHX>c7Ive2EWrN&rN5R<%UJh(1%;k-0#}KB z(;L(2P~a>-ew5q^N5M4Omsd9%_OR)1LqmFHqMQ0;YgttqlGC=JX(Jwq`Y)9tZd3Zh zKH`p=*wh9eh(XD-uNZ+YqZG}mj9W79x_m@Z?s5G&-cyTJFv=Un z$KcG-tQC)D34Yn6?3CMbY) zVX^lt*^g&xx6myPqWzu0el*`F-TYWSfVEmxYfC7Bdbjg-vqb%rHx zWWST96$(`co?3sn7r}?=6PRGh5dQ!cIoGIWBJ z)3Q7G%6?fHsEc3jeo%fJhQN}mmg6@8oBTzDOTUl`>=D;->M^#Y`0G}=G2H(EaQI$c zN!%SM8?&eTsXV=*1qxY-glI=@D~GP`BAahW-eyipBzvr~X+-^w6$rx>inpj~Q9Lal zPCV25vGE`45wzENMEx#vU6kb|v(zeOe0$dVw-1s30I##9*yC7}wUwf_;pJVXn0P|6 zpRoL0f{nGWTK46CIjtF~skyie<{>-i7|#Z2OllFMVaLXGoJ>D*s#(^`oqB2jR)4%- zBmIc5*{NN8+v;mTox;PFoQlwOMiqQ&W>pz^jcJwFWw9^}6>&Q4TKZ+pes#*e+7YM@ z;qXt9`rgvGwF@lB#cHJ)4is3pxj$pAxfLw;R#`J~u4=tEfyRsbeim2~e63_qf?|Hw zCn5MRlXu2)oWDPm;;lPL8yQUO6dajj6lqXh_#yFYc~yO&-WIkH3BYfWc1h&ICAMny zvvyXgT^8nv+P?GcCN?!{+Mn$H{s?f?HH4d16UEcbkMxoLzNSz3(fK0&)SX=~#@XXm zOsBt1{Bq}SD8Y!$6B?!HenZmFZsP~vTP~H>Y#;>_Qw-_liN2;N(8z7KMe=z8IU$4a zRy=u6<1kmb*|$uHXJs|nGlhbD`PpeC*cuzjw!6t{&aI0bycQ{wLVWM9xppNBT($Kp zQjlrlw#WM?=NJZ7-?&)AYmRkeeQq;P^S)L_7inkV1$V(HT`{f)4Cb&?~sN-Xxb`V-;a6rq~QAtuVt)2Re)-yv)bg@HM zTSJRcjfC3v*8+z`d4%zf`;GF8YbA?otW44Y%lQvcisK2#8%?IuXqV^KveAA~azD;d zMHErA+EGOmHRsDn{!w$cM)Y6)g)+-8%0nKJ{Ho-7XI6%h!;i7TiNju>?#++&Kk`)l zCeOzGf9!70`oDvV;y+<$&+3u!Ie$m<{{TUFx%$KTN5)s!{{WBw07c_r>Yw-@j>G=| zX^Y2T{YS-N{cHS-HaFTrXurr$RphN39*grYNv@xe;r=e~*l>&Pjs`dOpAC!jpAC=D z;QKfJS;Lp>f8?x-wBPW4KkNPuCy4i7&gk@2{$kBi6Zd{#emi63)vEW!0_JyxnZH>A7sugJeI#N)XS5B|i= zw!UYN`5rDC+~MwHmK*t_>?y(+)vmt8V+@g$t(&pFibg+`R3=LnPqt!@h>P!JpNaHH z-<4MAoK~Y-4kTsXBpwO&M-LY3IGDC~JYIDMm5T*Gtd1Go?>Ko^X%OPRk|!y4M;Xz_ zwewT#Eb33JI}62Omv{SE*Q~E!(=BH9C9G0gkfX>*=iZS}juC&L6P+G}!z+ z(ZQdw!Jn~375TTPkBoNQoe`cS{{Xlpz5KH{c`u{rJb5}&Cg*#UNY5gFM{#idagQDk zx+csow7QK&b>2@Dt8V_}JRgXI+@^56Qs|hUii_%nmppsG_^i?Tpe+8b25uf|l$8?j zxcfh0!veL{b~Z;Nr9W|E`D!yBJdOOaBabqVSJaZv>~72Ig*=Xwpmbj<$JhM{NnYK) z!r;#LFZUENDE0O~r^Y-+lxeea9ceGk%TE&(C_5f3PrL;T z9*LrH#@58y_-~?-3{u@&$VyoHw`au9^b&a;f2rbg0k3Kx{g6dDiZY@#V;cqrW*vyiBKO*_o zvePGH=j~(TeWH1NQI0$MPBcysP;sLt54{T}9_mAqU-1b+>9TU&ZuOqA(A~!Nkc?&CBJkKUtEwg8F=Jim_-t)jn6b;!XA3=6Da&IbPgrenoA=+6SAuXeN5GWiiA44iMNRLFED z_YGHNa?b?l@(=w2ALlw)>ETCjNp- zA5xF7vdiv}(syz^UM?gmCxndfs$-XkyG>B?KFt%&e^d#}99Pg|o*KHLaHH1!&GD1^ zig#*f<5x*p8D+kroa^R8$+xc3St0i#6NCCiIN9LpoohW)(G0OjY>d(+kqz4;DmRmt zPdN{j`7ciwT`kcTlKRWZ=_7Vjx{#h${$xCr-5>aZzohusH$w4P#g;FzzA4cytD_oB z;lcV33~tBa#fRK@TQWj>R?g<%5?*WhqFwz++l|V$l2|*mDd2r0(0gCpEA)~0m(iVH za&nY<*FsYHTWJ1^k>tYVFMVa-MXDA^$Lbv6F2_OXMH>x_*!+h1lNg7iACoOSQf@s7 zH|vbzL$spE=fbTVY*I?5Zru;8@X*f)IG34siX}Jy04#)*744zr#=032m#?_-?tLap z9$UENAukgi=NBRIIelP15Z8E+%BLN~vc()i9yb)-7K~}N^N$8M=u5)mmvnp{G5B9; z4+DQphmS9$ip%PMPK%BzYP4jzryDkuQAbm$#gZOr5YH)e(NsvSi^O&^TjH=p&O1Eq1b89KSMjM;%p6cj(CPUr^$obU39da6bw6 zh~7Qb*n1o3{e_BYRgFoqFqhRslkCyosUHUz%E@Egs_4fT1@#-UKc$L!Y?f@R?H+!# zkn+MlglCDa<>OtXcoq07t?0=sX5CT{`RJl6mgwI6_vAeqGt?cZ*(Vp(!H+H(+mmE= zKCsAq&*{i4nJ?;0-H|V(lU}eCal3n3D;_?q-yW_qA(mN_lqEvq4L@Nm-%{#H-$F_; zRyf6c$DqaM3f z+p!csC2}M&`Ki*aACUFUg5}YU4j*wZ5Z7BoK6u zV&C@2j}V0Ae>yfr_C)ce(vJ}(iK8FlL!%>ItVx(ZwzQWmmOmwOC(~EvuPN!{FmI5& zG$QY5n;cTAe&79=w ziD}|hzoi9vl^O|X}`HZ;0OULn59%)Ch_(D5>!HFfIDSs^$nNfFJDB}EER z_dgQ*qMLN$hX^Ou1hC>q3NdxKhkvTZpP@AW08NS!??(n^oGV=ol3t`D{^Pe}y($)x(#Pj9u`{debzQ~F zeN4GNYD61x>N5G3kDchqdvmy_+~G&qei|Kz;UnnS{5(q`xmv_iMlV)r2R;$Rb;mtSFwv6c#B+y{{YzE`xpBgnQ|SJQAHKW z43{mU?k>VBM7gCEWMSnkA3M`3te$U(UxxguHlg8z^f)Nt(xhRF-nE&v*|D59aVX-k zH#3CJ8N>a}i`>~0Y<;TGfk3&n+{q%(VQ>s^G{w zihgz%_={OSrxn@7XBC@~y&P1%PBQm6$m65p5%CAa9CL}gt2{ILNOG-fUD{rYooe}> zuN+@=KMjodb?D9vm#M>_p;;Gro4wJ*kGPtAjao4O0Bw2RAN#S^UsE!|PF>Cu^^w9} zvN$pQU%;@(-}pE%{mv9WbA=CPaO3)alVpES@>Ew%rw%vU{{H~UJe2w+{soua7+YA( zPSRsy%LeH^Q|qc2{{V5my#?5=OVw($e9h{WjZu#suBJ%sBd>Ac#{Cb6$LM@Nw1?sH zdNJb5>|@819BIUJuICaj*Ua$C@fNSR*HX2jiYTLn6C@&+L-9S#F@j$=b1Jv;A`)sF zSp`Rl$rT!rk0f_f6VZ#2p>ok$P_$yv^4}yRw2L#w;zyQ>(WADG5tnp74T=4u@R0Pe z_($m>_*|Zi4-RGajx$~2$27Z&N%D9_^XkXnUZpabwVN2AZI8JqMfPUj+);yYv#FEf<-$RjMB&e2nZYj9FSY?^GyLBwe8*O1zrpmMn7b6h8@x`VYZF z(X-&6q>m4RSlzu?`kR@(o7ueFS!I``V`V7!IIu)A#!irr5ryRJVDRn^-zxI&nQ}c5 z(4vV!8%GijiM8Bf680-^Mm1daS!I{biyIjFA*(2KfADmpY>JoZC5}=tsYKe}aY5aW z8<(i?sVX6XLD?11u2v%X=%TsMhFJ8n%Qrn`)I_vG>C|tCtJF^VF{*xL`7GLpjN!9m zHnO=a+=aCHN=IVT8WDOuBjn$Zn93R`u1gykA93=}ugpK0Uz0@?S1MY)Sh;BwZ5&D{ zTHdLW(LGbJrpt zC6~(dkGT1w{RTd&`QIWEE0v6vJ^jexQ7C>@6j5BZBD|{dt6J7VA1l!ljjhBO*E8gd zYo3ZWjSZL2UR4w!qO^SWSiIIUD1Jm8#m7h2ndwAfT(nVIdg(#x zmRV!gB;S<8NJ~w{mRY#mS$eHtiO1$du*n;xeZ`t$@hx{JlX@&;Bhy*3I`u`1R5l?G zmvH1>mTuK~Rit|lnQ}cOwCeP-%P&OBEKHY0tW2JaYR83p3MSaIBBx7BF7$y$RD~U1 zJW;fK_2;BLJ1%v|`5-sfm1|}`teGO%vPgNGRLE!P)LA0MBy=+US}|!3!;(a;^$}(2 zxe$i=uaR;hhviGRmh`)}1tzxWtqP&IQmW`v2B|Tyz zH&kO^H|A!@#>A^hE_6$kAtC8~b)&Z}=%U{@uOdWxlqN}Dsh!0g5s-&}CHd{0#H(3o z`Mo(37LTbeg6Pj(8|0TwS&OmVmC5U>M{+8(V;Hpk%h4GT4*pBzNUthH7c1w8^+-sQ z(e>v_`52o;(Rx;oRoIHvq3hI0p+rc`WAYz0`7G}F=%Tq1Eh|>ZvN7p=>6OnMc886X zr(qFVH(EIlRJ2P(XEE~Lh<<#CFCi3BwAyXbgtU{>yFEPQgtVGWrnHP-p>)S8BCn{< z`YlrsmYIxQwWvUxw|-5K?a28TI}r4n^yE?VF{^se;z}eH87S&@M(%^siqRr3Mr4(d zbcXb@isgQC(P;S-FUVtbF5}kUmqsYkg zLwBk~eT<^=pPGfr-5q2o(O!KUO4USbmkRadvQN-RdLh1Kg#&3rGCT4gCG*i-kryMC z9b`pTt#YxJUzI}Xqe4XvBzhKJl8}e3k6N)~6cJjnlJDd(c{j|3tflNI*p+IuYnAG} z`z)48UqU(k$CKE;Ue88^gs5E1m(}Dy$fj-^Y>YkphPf!(R+Y(o^~psJB8_u5rJIfC z5aOIfIiXCwCEr#j`9~9Juu8esugGYx%HF9PP~pwIZ%gu5o&UrDF%bX)0s#X90|WsB z1Oov8000010uc}c5+NWlQ3Mk~6e2KTGC)#s1tUVCGl7v}|Jncu0RjO5KLI7zT|Ac2 zxtp22o7wI%I;{GK;QE8=5%mx~_>$C&l^a)+VX)ZBD6W*hC3UeZX{<4SLdHMnUrl3b zHX@Cse#(ANyUBAmO!p*vv+#Sb!S6o@zxo!s@GW?r{5+ogJf7@)k$3rD0wIa^BmEqg zT2@p3ioX?fQMB5P1<2wk6<>*w?ne_B^o@0Te&r)%U%~9d!Swom33^>NeIy6yqvU>z z_I(rbeH_Q>brJO1o)2Pv2cP$x*nmY z+Z2gKVkHm1Q^ypW~aqnk-%&8Q|C*a*L2iq@! zMh6A++^KmZry=h}&3p<{`9|~HSJ<6RQL!S0{z@uEGMV>yD*go+uY-c3ZAYs~Ea-O3 zu_RI0hd7ih($kb*BTT6uamc?a>0M8!NBMGlaDM`hntU6gxUyXQk&9m?v~E60KL+U4 zk<^Th(ehX9e0-GA%17K>yc>=RiE%hsk}A$lN+|uFv(9}#Y|NsDhDB6xpxB{V+%bM8 zjt&O87hCDmbs=33aGZ$!&+bD>5=gkOlYi{)BlcDI8&NI{RQV+v`4lVUaehy@`-^@G z{bP(Mn@zv5C-OTKnd={H=>Gs5%c(0n3lbOdAL2uIh?YrZendhst2W2T^w ztAV(m?aQ#~aM-_0X}^9y183}}a(%+FN1jT;C{Z@E*54V^?U_c( z9w?#%LU?1Hb{3FL05i1ea+X!d_*$MDUXo=Vg^(` zXLFJ-Q{>#mH{kauQn9msV;?5eduaC0Y}!*QN2HhquGl+w7yDz3`%JGj(ibEV$M!VD-=`mbMSI1`5d5H zWO+vd5@)D??mR=dx+z%GU6lm5M*JckH)Z<7zEof1Fvgq-{kp-B6_0ak9$7LK@+M2Ro$n_ddYlG70`!S|^{{Zy( z>S}Im^xlFRa@<8%Ouy6g_5SG#{Vzs5q(yCF)}>T$vdhXC$cymkzCSB<(X`raDU^Tc z;gqq-Mygw0bUO=5PqdF_U*6AW{{Yh$q~q>q(!}~$cs-bSJ*ap+xcD|N0*cPFsEz!I z-kp+vajDwT8TmCJrxGxuh0$bnX;Af>LMWyz*s&oCspr$b%Rb+Vibm9IHlCw)$DJ7c zmO*fFEiO-cO!rrZ{{X|>{{Zs;0Qh^a`xvJ`?Ee6==l#F-T>c~f z0A{kAYS8=__9TDET<|y%L(}BgQLv$-XyQgcEOv8?6tamdMgAQrQxwzulI%tC`MpmX zZ#q_>M@vh*k+(^FBmJ)k-xGQ_o7uU)gYNKs-U^YnM#&zbON39kDD8^EvR*{ZM@M`) zmNr`QF(pT0OXU}yaE3G?ekCTm3s!ze`iZ0JpMiR{@`dVPEoeWI)97ZO@n4(O{{Z8~ z5dJ8RqOaoF?s$Ve$^1a~H9xcd&HBIF{{Uv~ZwS3_(f;?8RgVW_~3*bp9j-wC3H2x6H+#WB4|ke092l;OWQQxsobi__T=1HnZp{( z%&{NCDQy&!!sw%Dw=a|4)L$a?Q~5r#$|35Yl?&8q`8~+K%wLby{{RdkJ&3*wEV9k< z@_VuJd$ICQS#5NEMyHeAl$|y$JNPwqHp-1HIZavg&g|Beo|+qe)Hfvc|>MWlNso|BBOg{;#4 zCZ>bbmgbEZ?1Ds}1jt2d!)UZUu3INP%#@2K(M1anqjD@m79@p-EG`D~28OJbieuBR z!nNGGL>JB_8|*>ZMRYu0O(7&DU77AqKk_5$by4-Yi@G&EL)BcO#3XxhUB&6(dm3l4 zr{MNs;P#>5*%k18sNEBMe4gw)j%uuW==TlIU5Or>U1@(KU)91lNO^!mb|`Cbsp-U@i{Kt305qU{{WOo&evTVO{UVi=-4QUkM3k# zlG|tdzEAgjn`X~zQ1;pEzbCa{BhtT;5R3`^{n@f8+Z0`PH6JGb066#*=%F#IMNwyQ zl2~PyURbb(F=8Cy#Bnw!-1guqiD{Zq+t_c5G?&jpCk?-JMD2b`%?m3Cu}K?|xf_vq zY`k(eGUwow1(CtOBN|n?i-lf%?Sw;@Gcih@JH;H-@|2_ zk!v@G#PG3Vc)VH3WtJu^OAE2J3lmhxVoKIvO9)~a_Z;DioFZK-6@*dzRghNfT`1IC zUx7R8S)*cPmpn4%dI;@VPeZSX0c`TG1?QdT%X`l z#F0YacuaX_;bXANq2p<=_R8pr5vu+Os_CMHFSXa>d=5pMi#G~HNTbx~d%evcOtj@| z7mf}NGkLPhFAa+nNzkX2(($ebjz;7u8hny;N0)so3{0A|E~T5JQ&V>Z z;)=>JeBkKof> z3!xW^!sDr8=+xES!Y?VCi#HZU{jUkpxc4Y>-N@aR#KibL!D&r&C>qDxWCY_Vd?JLj|* zwP;$%jFTI+!5JU4Rwcq76E4lh(of)B6Cmvp@0bq~#q~-fh~jKz8&7PZiwYJcM469$lypz#Lgm~nWlt^mID#+^F6sU`} z!4zvU@e+I^xpb(ZV#_3HjXN5lbWgEmmQh7!Q7EHfu-eV0(uAvs1C6ok}ElBd;a$OHxmh-I_FH` zWNK*B;BjlX(9Iqou@U1{+asjpLu`K3Iw+!<7eujmY@Z}zC6-cV!=8)FWr=jLvHi?S zi!_%uSn#s)#du4p^=rR_MlG>%(I_c*9+O1$ub7KTh*-PFv2NKpD5FET)sE1lZZE1# zk3;-Z#B*hm8xk1%8YAgrd7?GgaV)Nuu^x~7lHi1PkE^ip2#i>hrDWdcW{+~m$+WDr zEH^?ITk4dkvJ2-9T@qqL#QPdJOQErm#23h;PVqy_#f)5LOw)$N_#AAi86LwVCi|L` zawM8GJCLPMLY7;unf5fXd2bEb6+=XOW=^^peHDu9vFax1j;A!v z=Or7_Rmi)tbce~HxhK(hS%}cTG#AM!Z5zBsSZ>A8`x<;cnKDu|)w{(X0%e`@@mRY2 zT9f|(jC+!bh{lL&xbQ4$B^S7N9#OveNBJ3~OADc9Ia$BM6=!5g-ebVsiFJ0LLt|s* zk&Plem*Ia|YSTq8ysL^nmY#TxrrNp>FtG+!jk7ec}!vtwrN zrO^axKa8X?KD|ZFiRNsRG-Gb?y4$XVG*Q@76TBhfPUrp&N!W=p3Xu-jc$+s4Lv(s) z+@Deu4i{A&gvZjz@^)4?eO6gzmx(JxU&c2L*!du~p%>QI;G5)xkz$r*smm-;auhC9 zk8FyiiCMT-H-^tm@=RDrt3AIb3$b{=z@Ju{HDAV1`ZXAv6xkg{J!E^Sl6={^(C2v4 zT-mrw^R_pIVq_hXbSUnL2y!<_?5JFEhI40e#Aud1F*YVfBN5-ZXuMzG?04ycq-uA< zU0R*+j>NMPQSe1>bS^j{ACVQ%v0YV-M@u$uNYk2TthX9Hjyob>%82sF$LA8A3T)lv zW~Xj2m6|$oisX8BD8?nWaq>BqN54Yt`K0+5T-BRIiF7AIW|~M!-WTO%nzAo8IUTtm z%OlOm(pK*hW!Q$z{{a0e*5YwA^zI~QW3z$Gd0oet_WZB5J7)1+E-3boZH_FXPfpFd zNKU#};8r9)`%m%G<^@QFjtCv93n*?Y1PAX6vyve{wWf@QFOcYcXPf zl0)f?Y~l!%tep0WR#~{SxkYuRZ#~3bWi*8(^LW;O9SS1FpUIL`M~pIrs}4zbC9mN3 z4a99aAswgA7FHHfMfGkj#hG^Lgf|>P5|-?0_8~o|e4!LkSVi>MnYoSGw2hN&R*360 z+?(IYLx_kHX&c+@if_5targ|B#CQLEoROBOT1YJd>F0RR9200I#MArdh`5F$}w1QQ@KaeZykkcePHW8Qotx>fG3LTc5chnk)7S zVCC+aO}*!o2Mwk1W>|Z`PlC;Nip_k#lrHN%7jcW>k5axF{L8xk09Bj)7k%HATB!6Z z;?w%8KA+}R!})^l72JQKxIgTu{{S+mdF&jTdw5Il$$K(=5N#&8vBd!`#B^9(TFYme zH5&H3H$4==ga_U}?a$Gc8&4Bt2BzoUANCkE#VW+BRDY`Yuk~H;pjlHt&=S3=g%EVdU?b`oup_Ro3d5y&(3R!=@&>YWkdcDd(8);qlW z?LMCD;0`)j98G*@l9240(!HMa=?e*>bDYx}=0=8dC}RZE9;@a80ytjnf5L2ZlQ!2y ziPi5JN`YJvi&C0N1h7a)4|($3&~^Z93V*XO=@A(yGy(41@=>d^hAOpB^Y~b>^#&p%$wR)DAHAcH;WL4=Ox^CqL?w+sCYAN zcX%iYTvirYyCGY)0&n8Kx~W*}VYQS-rs@V;THil*;T+@@YV{hhH>78~7*qA?m4FF=BQ8V>yd1Z zs1ed`9TOosDwu=enm*JW@2nu$Y!-RKi~@0D>IS=BM!+*eF!>nPp9ZgjI4Q6j#xaGL1`>7~pC zu4%*Qi0XGh2Fc&Um@b>~vW}|(oxLU88Mdc4C>L^<9kHhsXWxV)7-4rThUomkM^@wD zuSTckD(xd<8Nf%lDD$!dWR&{Hz;+z(b^{t6h+%@h23!jEogapZ>>=Ef<<1gqnk{u= z0W)Mji0;{{-6~A*;J8(&1%zoknjm`s|}g|7L$Q+lU}!Y1K3 zHQRyWT?!ooeb$Y=E5bIkH(6KPht&&_;ET76{uO`!0Fs6$v%yU+t)uyu$b1m7UDBYp zP<_)Gqi%NL8M!sQHn^ZY+X!|N4rt-8$0a!0_JggLS%s78jw&GQ7)Y|?^&vf;FRo~q((y$7Rg6y>lp71&CtbY*O zOT+iAC^BE?BZ^=bc;a5ySI~6cTCBDE9F)8L4@^Tm=6oN;AK%a0CJQYILnw8#i z8U>pvtU{@Gft63~F3Q}eu*P}&(Bntw4Cv2mWv(Vokp~b3glEk%gCFx9_|a5-7jJ;s(KW-Q-|myD-RUhi z;1gIdfE$=Y8yImr>ajbcG`9|;NkILGMCcd#celHu5DRzp;J2NJc5TL1?Q#Yz;cs+< z-6a12WEVM zcgj;Lb?h>J8f-TSBH$U?dB1Bvlx>}$FvYzUH&k{fxz|Qpi0Q;AsyEqUq-+5WKo4>$ zwC}jWw|M4w>?D-RvAy#I1G~(&*Sm$i>qBtlRp_w!EA&QrAjUA@nZ$GOPNyNR4iQs` zPHSp5rhDPgqwS6p#mJg{C?6&ApYXTovk;wlpySc5Iq_2dhheNO`ZvBvV5aCkJT9Gu z)rKHXh|x8_X?=~a$uszjQr$u=4-Y&r-C2x%q^NgBk~ktA(MUN*f(|q-t(VNwv0`** zhnEC8n&vXXfcrrb(3}N!nS5oPki~-G$;|55NB|Ui&X4m?ni#2Z$v3M6TKiee`(uC~ zrpx!Xx!e@Hikr&SS95iTY#m{qN<^Lr^FHCvC{(K$mP+yyB#a7(SA}(=w&vMN@S|)97_6fax)L(NG1C1+X+z)J>7sYI-1&6`Pdb@E2J=ko^JCZMJ99Z1X z1&O$c;;dm=?jCChs_f=v29OLwCA8Qa>_C{%rU6J{+{MO5s;R08rs;^$No%;oeHXK= z<{Xw5^u6m$6ay=R-c4&?AiTX^rB0#cyV_w#zFW0+LsFuZi_k@e~YwP&DO|b#W<^d-lmW zBd{uMZjF^@K}9$2n-$Uk`5%c>e-?tH?uglZneQ8jmX09^={NO2va1XreV?Lq&||a7 z58;mN~uCfVN|s&FBl6(S!RQ&^lSrk`!_;kPesLqYYI<7 zAaZILWDJYLE5X(pr9IDn#hi_n(-eM#ga=`IbjhV+K` z6U{Nw!dY-aEpr2hJs~($=-J4!W;$`4#;A38*lpm38m=5vx1||_Vz+Cs?|j3OCvnyh zY`%!%rXAn7O?aigFvL#=?kF|&`xNt>`sX^PFUwZJNp{{fTV=EU> z*KfK@SeV+BfGz;p6IHJR8Eu_8Ar5vW@paE7E_fD@6m_h4vKy^8bbrq@XQPa`CvITn zwlFl9%&Z+#JYwi5%%DO%wn1t2*^(HdR%6vLjwtUH7|Nk2W?oH^>pCG9;*YYgPRe@sgOX?+A_*f@ zz-!ZRwyD4dL`{!0(Nd2eE2dNXF+Kui3{)z&EmrMH>^E5c(0Z~C2+tQZ$*3vgy9`Xi z7{(fKLrE6@0G3i{vZxN6UP-w6BY=UBoRsxXYp=;}RB$8wkEJ)e z_1P~k2TUb7wCg>g*~@3%!Z^_ooS1ozdVIY2ce8s}70> zNU4NkiTWdlr8Ht8e0I11PKdSC*z?Id7e)lRhdnNdsX$rLy1S1O0SYLWOvDws9Dk`h ztRy|O@%hTBPaT*Wm_ym)6Q_c2u_Jea-EJOe>}qHrx#K%4Q1xF9CfOlL#zR#%b!P{7 z7+(;XyliOVVLAdXaGA39W`7Ho@N*9();-*sVi1E7s>i#-G}?7KbEEFlY<*W*NusCX zb@mq&;WsIW6Wul`&!TV~;d@3%TeUDd@h@dmR2-Zfy`?`^uIO1H486xDHB)B>s3C4Y??_Hx+9A3{T6px!riF8C)IB~V*=w;JQ2Z^%HKGARh-l3@3646Vj7@u({SX! z(!u18232ljlK9S??wo$q2sXm+1X)e=p4p47>QtXR_^GMUA9%A)i@RBacTZKh(j3vM zJy%Nv@GxaabtmeXiimg^bxr;l<)K8rok5yt>)bD#WT_f;AV3Rs>~+ck{T`FVH|0go z==feP&@wmGRH*TTcttU&)j8cBs~7`hG`TkgM>0v?H2iYG>J4?j(dCQjNzJkiHGo;CU_z#QA&H5(&PGDy`yxWq1DoP?T6J z55;b2quID?I$aX#4IpYYg14OJe?+Q&+&_BuEsG%zH>T6x)lE9AJe+PdPkCz`2qQHn zld+ywO_o(_tta(T+SNm@jvkX~wj@MTckg5!)V0%jLMWCvBi1#+vzS8-dX2EvJKD?x z=7Wb;=oS}Kd~;2w(Z^X+ zfLNd1`=P-Gz5OkY{6(ZK?^uO@eIaM`Un%lO6(*G9c7vcQCE_L#b2?5EX2m-VN;NYx zgeVlK7u*=sD62)nNgkm#nClrXoM%fXI*Z&MFy@#?NM@V1MZV0ANVn{O;W;RM3XL|H zUlOYk>iSNfG7#oE53QE z(QOchy`;&yyYh_@cUhe6B^~m+&h=gAdaIM_hTS$?&x(-Xu0qT#*6NK_Gojt}SYl5E z?vC(5Zu4al%peXZxy7(JEjDI0W}N4=9WJ0#V!=-LfT_8o6-JzIDZ-1UvC1x`?Ip*W zhqScwSaTly9wWCPkXL-H!6TC1V+w~*Z1D@Y=8hx&c&6a#65i!0 zv7x=$(Nd+-DHjG9w}N&SkRayWuRIrb=)0dqYHPT=s?AoWe<@!Er{pW()&Bs-z7zcy z!*{4xX&=@V+z0iqjZ5>djYIPk&}uQ{{nYLOTkboU2#1FoKrA?%M1r7urYYE))(XnCO>6Qce_>}XPJf0bLSAJVN>Kcp{=eFFHeUlyZH_{7 zMWxUG0LWLwFOjL3*@XPN!}n4Mgj=S`?8s76+(O-Z$DFO|&HWZO)dsf-vfg2Z&0(wU z8}%Oe`1{s#G4AY0UGk#ZsVxTbpN+1?5F?Jzbd^RMJHNUSP2P&sU-=dQ+G7p7fmzZ0 z0Y080;54vT!auAn>eL7Qz`xXVf6lkMT^}WWn%^cB{;&T4%&YW@hr*hv)YNv9i~y(f zPvnP!1ZFZox4P?vBVa~lYO*UO-B~OQaBSX6b4}he6$*1{w-bhpx&{3mfuD>5uysaG zv}7aHR5-`T@d&l;EpX8L;y8tHN4%%}!8mX}`0ZfPQR>|2!5g7>tNmAcx2Z&seNnLd z!5g#lE7WJIy;fVtpY})Ek53=$kF?5uUmxt9?Hqrn$NMK;=gY_Y1b!#{pYW=X9eyXr zWn<0|8y>@<#6NWb5wZtkyRZh33yev~E$N@t3|8eZrd-M5@)uc8ymbzhh0JLme1z%_ z_Lx2WmBg#CF6?*}-XU4z(Pq>AOStbPaoMZ<$KN|`JKa-h=@eVL_ty7IrRul3TYQ06 z>0kO4{-MXgi{e#2L4Wi87jm)ja{mBMg_Du9vqf7Fx<9ve1 zrxUA?Ss5uv5vOd4zS;x^i!NUAyS`UMQ zyB+E8$AYDwclTMHxg6D)9ka5=71h>=AG#$u(J&=$H`Q+du+(UCd8wz3hl^aJ89pdr!-t2v zwbp&OQgYeJTeF-l_o|T}qP(9qcKsK0eSgZ-d9BiYKeF#P^!-(Y_+4)TJ9przhK87K ztqZ!($Q8bUTdex5t9@1J)%0Hl$nJerH&uqT89U)#yMHosS<)%u=H(})Q1wk?tAa-f zPjx=+qtP9N;h9bWrutm#rIjoIJ*;f^1gT-SCNBGjlD%{J z)$6yW-eO7biR!{ted@BC{_}9a$`Bzp$i}(dBphJHVQbjT5vDXYN{4P=p zhbJTZC_`+i+jYq~s>|BbqVdg7*_%IwL^^yFHmG4+uBPueKniop#0$EJr(eky+w-b!g)${T3sSq-3kvaI8H}p^9pp>3Irf> zqqJD;on%4Nv>>MV zZSNJQqLEaMX#po@a)^(7kiNXay_x(*&I7@#HbQGd8c?G9jcDwWr(9u+NoG7UAZSWR+w0H zL0ZpWXX%ahZrARVF;uAL@ReDtYyjmWEYpXYo(b4Z&BERT`hp?A2pTLk1H4yROk&~) z>|0-TbrYdJn4N6m{y>%Tw^Zr@;G&H!A*%c9TN2I%COR zlN7bMPXy)3TiyBg?_jO&HQ7)3PRlHmJ6)JvZh7n6L?tY;Rp}2-ylmJbd~;ZlCt*F9?GF_^ z6zt4HO228-#S*OL#Q=m}+9v>P!Lpmij=Itn)r>6cg@$W@P9n}WYHb&cc)464){6@( z?8O?Xb*ZRmf!lqgpwZq=#9biqTeYeM>?_lMCxz|$4l%}=RVddLDHjOdYNpIh#?9E3 zx&iY=?WF5wZDssMh|~fY(;*OYPHtge6MVZ6k7SQA*&grDXyA_xp;=jGuH|d1sEwPl z(yVT+7e^uHfxkN~+xTqAAWp_o2F((DQ>z@AmyW`nE*P8gue4XQ35JcA8#5i30h}p4 z;+}sOVk$AN9Kz{TjMKvoVP%xH-)f=2=8Kr}(%Y64*I{WU`Jl~p*eW-`uIcpbbM12r z$7Qw;cL!yZk(!;iQ>r*}=CKhdVOcFxo!Z^i3{uyfLW-mMmGV|a&M*L!`iD0eOyce0 zi|QC^B9ly=O5)IE#S2QgP)dR-0Ij_~4}Q(TX^w`xzmlCk9~ z>Lpyd5XF+RftnK@3D7Kli;}|%$7k$@F2%OCZFE7&U^hAycSnN2J|p?)n8B$+nbRa& z@-V;%F`1RsH$6_-VNmAwA~qic{9V9(dtDB<-K!qEDt{`hGz1T(+zcZYr0M^(MLWOk(FI|JQ@X{zyD7K&u99t$*hq5-nK*A!zZ+a+=tCm$}>;F1;!vgRyJcQ)a& z4`ZEwG;tY=C)Dx_u6&u*L-o9@Sc9B(PAvP$krybjz)Vib)GO_so<(9Bs6CpTTfCb8 z0K5TB=-nH6^YvIBcTJpseWI(o&%VhyY$sh&MPj)k17$=MbSTcu zzKa&T7cGOwwBr&;E3Bk9^=geZX->a3tY;!rK|zGVWaccL!-{8DU^GnQDaV%B9e{Ky z+*R}|8+$M7TpGe4${iD<33uKc5vczFkH?b76B&*nHkCsyKMnkOc&GR(U&=cW7bOF2 zwe3&3??7f;(d4X;5U1#+Tj;l!1rSyt;dQ#C0~Y+-Guy z!SGUDgDfbYu|cvlG1(9XKm3=K!;iu`!-$ z#rKO&%O=`JB~uPl8v{Jf!Lfltboi=@9XhRHIkC+k?e*RjpJB{$aP0p2gJm%T_Kut?ZT~yH7+~ z009V%yavtUq1i0`b_EK^N*qeQ&Rb6xm_U5R5C)KeJ136bNT?Z|keC}~SnG>n*fuwk zvBrN2mhKKpTuD6CQDJvAJtg>$-D-+VYey}R;VTtA>RPo+ZVIi?-H_gAhS7L-g)^(S zNTaVb9xP_#hQ=|u57)6O-r`q^j>N91xt!MbV9w5KCC{DS_Lv`Bi zS>mJ_9iFy^Uo%xcnbG_7v+zxOGH{)*do@j}--lk#_4j9Z<$z#AaQaIcKPO;0xrDOPKQ?Io!)Il)B%4-)}F2KLG;~; zr)zc^tP@Q4+MUs+Sa+$NxQ)h6@J|`he!L1hU~P|e9*XF>Nlm4jMar;%uC-ap(Q*pt zLY_Ny9ifX$G-EH`tk+R`4rP275OgorOsLYBrx+Di4^*tPnS>wFJ znAjE9C5oOv;%VTUP`!Mhw~?nX?Ft?X zl4Cs33X4AssR{^1)`cy}eivuS8gq8!r7%pl<_iEm%O=RtTuD&g@6mfxJc5Qa0H==M z1n&eDW*mqP{gLSI_%$y~@a3>P63U||wFY#-hlN4iI|>M~*$|yZr*YdPBYzG^Y@dH6 zL)Pi?SM83(olqMOYFw>ya#P4I3d#IMf|%rsTcj}seV9}o;&TX+B^*z}4vX0^o@=ao zoafH;tZx&v4n2o)owWY|69`3y$1r#lX;!s*c6U9H;0ROEDnS1Lrt5|LO*d2Ln^dvE z-Untj_t=E@+hUv+25(eBM`}vlvFGlsVzfRB+A(e!Tg{He`yi7$VGhK*XISMNRklgj zBtGc6bw_QC-M}p1a#y;rTjEOUpP0z;RvqLvf_C_)0*dfdDz`Scs5`FUhe@OH8J{qk z_I3#@4Lna>`P77 z@yGnaR{aM507->sRA-2l?CR5ZoPMi$rWW-utXvlB!CTGU92W4uV)-M+H(_7uptVjJ zUk~c896S}O-_-!!;vpE1=+~iVNCOF~zFRzYUmNgWH(M z@lrF?aO}`KCuP-#Zvpb#Bew|l^H*0{_AFNQ{8n2tS6M8|-EdhegRlr*&v|bGJ12F` z@G9;;t9wlsdOVe$-(8sL`Gvz_P}`|`jvE`4A&3GwuVzT)D(wfsVR*4v>6?D4Tb`q_ zKy3fS04Wdw00II50|NyC0RaI40000101+WEK~Z6G5P^}QvBA;s;UMuaK>ykR2mt{A z0Y4!p3+Y+(CIWYv-JU6KPlT^9UoV5x^**_0D8Gx|S?K%bKc4hLX>^Cgz&A(>H zh`t2tuMsT1NyhP8gY7!#_J>pQiT?l$Kh%oTco7;8ya`Y<2oNAZfdT{|K!OAhMhk%m zBU@6?UPanr3cfl^x-5EBI;him@%|vc&3k$US@j>*KZsv2{fd4k z+yEo+=K+odcio|lGig9;2|6>zaD^r2`HD%?;UgRC`=rsOLs|U>=*w4%JsbmL;a~w@UKy_ZZ99( z{{Vs#%u4m-dK1iN3tVeUVlXEC$?9gtbSq3?f%LB5BP^T`(MQDKF9M8jpzN( z^#1@w;2V(^FuNwsVsH{uS;Qs*U*;n!Je;&5ctO;io{{R3&VKGYd zet~}^%rNzT;{6{>mo9P*YVlJ}JTTN=_#RI!her8t!~wU+{d26pAG8JMKh2Up0Sa#sfay1}%+1)9=(di+ag3D55@YIeze$WJjZZ3y5$ za$4K`mkBhF%hF{JgQT^r5tasG!IrsxPz-Q$EM|oszp2#M_go*x(pvynsH6Ko{JO{( z^Df-_%g-OrgZ@dh;yU%XdK)g#Jq2a8Rm>}RUz{0dlK0a*M@4FDPv_bM=ZGRvSo+El zI-o_Eg#!M3P6Lw7dw!#vwll-8JVYo3gaJ4$UH06v3k~qWj$8*>ZCDF^2IhfgvcLQn z24(7Mdat7$j;qo(odfF20^oZPf?xhLh!(!myp=UP=R!LUE!I{cS?G>~TxFFjv1!Wc z#aYz2c30>6{{ZCPSo?q10*W>0I>3Ni47+;ZTX6Lvl`=~^qd0_0YL^1zq}@9u=U9wd zbTd~^#3Qh*R<3>F%^NFmSsxKD=q<=LagEA_wXA#M>wR%~g-p{PkV+#r(SD*9+br*8 z=BE`Cu%V~mnf@ht+z_UMt@6wB716=1cw>;J3pUO^C5}6R^?87%uEgXkn8ulScIH`~ zor&}jZN=3qr*Ss8*P{;dkYtO+77MG200MeuqnQAAF0vgn8ZvOLDreJG-(xLBZ*^n# zN;Wp4k;(r6lCA5#wJ8ebn%t;y_4mQ`3ETu%0Vu#F)xB<5vz2B8WSY3_ojnLb6S&N? zr78OH^soLGbo4wSdq4U2^}AkA=gcDt1qZ6_nN7V2y(+R}35f9YKs#!{d`bq%#!|TE zFjq}h&^I?Z25+wxV`;}#7?*js-rvhi)Hv?yZL}firSlZc8yt>*qIqn9po(Nt}$lK zjIQ(EC9}N(=Z~b&Qw3V6^i8c<<|9-*u;8X#h^>sUxzup26M>CJy7D)60h3#dvbN5X z=TWY17UljXya*00bB}lr>B!TUJ)oAm!&bL<-V~2>nQ5-ySwK$XlD{4Pp03lE@#(uR zaek6tLMr{2t%XC{g~IkML_0WU6kjFc77Wh6^qj=(zqHN_?=P#-9BXof5LS0p*5>oVIvu7F z$%BQN>k@Fl{H)_K9XINKuqbU!9O*PXa0t9aEbLXgST(|+3txy3En3xY8OJkyNj~E) z_5kIt+AUDDyf3V_^b20f&pVV^jR$c9k`~&~{NiO@E9q{T?>CE9D=GHGpocEuE*1lk z<7>()2{@d(t#JfsFVXvr1C2qz&(=FxhlA}loh=@(@=&aKwE5git~Yi)qG@bF<$#yO zq7zpyF(WlVq148~$PME;^XqczJsjd^OJ9k4R=$GSr2hcK&_i6Kz%kVZQXOo+5Y55M z6+4Pn3-%dhth|Ma$@yjzbcD$H{{Z1YI>SOPntxwUSKhS;U+h2^G|ScH^`m!K^9&2e zsp*mGJpz~vxRemD3M9WNhYgOh=FT;-t{9D|#?31aqaK1k4_3R(xuI(UwP9|j)kKR@ zuLWrKMV81H(94_zQpCF+gjWiX3kp`cYBYARbZX^K9xK|V=^|VQTCMZ_xQHoA*<;V2 z#2l!r4@@67H}*>P9*ClrkJPX3^Lp5ZtCHOf#y} z6w^Ku21EKdO|0W@{{Rd`Bs4B+1YAe+IVta-%uP@J12Lw-dj4~N2mD@cxAvS}Js(05 zQ|L`6ptUlwtD#lrtjJVg?A~V+M!Rt0IM5%4@%?cxYM`N=yIc*HBJC4O*Liz!@C!oT zSu%bYuqF&Ik1SEehXGAr66kswvjvh0U08VjCBVCa+HX5~fSX}?9vgu<$7|5fYJjxRm$X&i&LX+3 zU2U7XJ!Y^1Z;DsYi5t^(WzDxQ=q=HD9`f0(?y1H1m&^S@J~)`02=kk$gF?8w?E6g- z`?VqS6RBa;53k2z=>U3ttArBky`}~x4q1Dz6eCY`IY88Z0pF9fw5pXm#OXV%Mw^|& zWm>BV)1S}(00nc>5P2OVTHZ(BqMY1I(u>%=d}=a_&F*pQ+gSa--%a%)Hva&dB4&gr zvp8kC&ZoS&jbXDUml~92rnVM53aa*wAZ!KGo+JD(!dr<J_dc6l93|VngmfigS09;sTUV*}4sL^AuW2w}DYkp_xuxd`ziI zhp(;!)PA0(o1^qhmL!e&PLR}v8OL*+*^=3XD$%It6!9`Jp#8s7z8OgPds&)Mz+4bh zbsxv${@si&6kIUCex4xOe>3#G%n-Y5-)U={pNzzNnt43}&stb{H|7$q=57A~1U&>b z4SEIu9WaBJv zuf$<;1C^}rJ1~}u94}*>qi{gU1Wb*cu>49pT1`pSF2xwTr|^Xrb)`v-%JmmzkR0;@ z6JAxztIhmFW0;4lPI-?LYUH}ubj&DPz@b^q#`wmAc9|JZG-VjNew|Tx2P~}$KD5nT z^PZ{4%|N1|wz*%@Sip9YzjF@7G#<13%ZY3$U2*n@9&Acsm*j{Int4Ig{5m}jr*35g zd6xiOHVdco?=wql%s?WX#Gd6SbiZO$Fa|zh-W-%vZU@{u!h|y>^u%U?$ti7d>|&(0 z)4@i(>QK5L_mCf=RP(crmb-xhP*v1}aJLOHn}C5AVO^2Upb+W-}0(JzFwsn ztiqEpCfRUE1yLS%aYsH3Q*)0XTsFkxWrqVp?^7z|#*9`VS%&!efTc<+BTTB!*1Dp_ z_1r2(vWUNmf^$8H?Ktn5n!z4Gd`AjPsp2h@j04srno8JxkfaLWYZWk@T{D)ed`3bi zR~Qk&%67SGNGR;JQIiz4(Gf6{QnA~IF@Z5Rmch!fUdh)^Hws~T?0g8Ciw_^~+*vvM z>SgxKBr&>{x?|7nuYR>F0maXWHHYZO%7A+p3Rs;tL{Nc z`m&{TeVKNv9T|#nHdAvjG%KI}XsRxM=t9E2=&1UvoXRp8Rto#0RlzF8a>iezEF86n z_L2F4t_xtV7Tqve2mBFJ3P_RqEt$h}1I)3-UZUoYEbAY+dTIdeuiQ(*1#8ZDg7QIQ z8;S-+PKhdwtSZsm-Hin5@^QpCz<3m5E}<@+d&Nf-S;cs!P(Qlo?~ABG&;bz6&}qzx zD|ftL7jmbiV!O+79<84)wNi$@UAyi%*?UV56(EZfL{ zzIP34Tw~As+AIG6C+N#Ztqb4x%+DGB0HZ$b`b3Sd_?fyrCovuq8U@RHHQ5vtI@6g{ zfTtQ}d>UkB`uPL`^F4UeGj-NXOqI>%3SIoW{{YRXSU#w>ROPh2f8<9c^$=w-Wrd8` z9jcDC)*oq3IIHsC<_f*8SQ5SjUg@>GYA|L#q$Bij%b7Aeh|1e=FcSwS`w>(cIjooc z%(_;ZCbtTf6e*c<65qPvuYS|NHI=%oW~D~66gi}S1j&L+9eMo1q5KP{tXAZA&5fSb z14P0%FiO9y*aclT&VFWWtZ=c;BBi{j_y-qk^NsPsr7UP@Hq}FL7_0+$@3Kcm&<&w7 zkILS=F{VvVTjw4k*;A3HiM@WMa1p;Mo<6VvyE!l&Aj-J7-!auTEUdZW3stDGzgzmt zVOTfV&t~o*(Pv!^e8e9Q*z+$$rM1VPxQ*c8k8A=51?vd6c+3IacO{M5is=g4#m(*- z76F9NwCL2UC&=Pj)x9e*@&;%jd*cdv0NNY{YXccFkBoSibWI;n}BEyC&OQ8SqWBhhIy89Xv3#k>o+eN+*a@LDO$o8 z1I!B7$d35G#Hgqk!H2Rf&g)0be`;l0xHpK|1@od;{>&QJ2WGSL7P15>;D}skV0nPl zCLZrk%pq3=cf6#z3{+Z&{uW-OCD#Q_2{=;I32Jb<`b6F2DBgWzdAUOF!npR7oP{^v zJjRVdrlP&;J)k8fI6Bucxd_I#2iPEtAP-{t%ab2T=L(v^(6YJfjwY8qCH`aVaI!zt2xQUQw}{S=RjoA!NU$b~brtfK{wo#ToY|B-M}Ra9 zO0atjK^52e*R%VH0O__a*1ieVT@EF6gKFUmT%8lP5dDj}zjy#pQ4x>>m^7@DBJQ8O zrx%5;AOsUA*SAR3caQb}Uy7fS34#9rPUbG3DHP3+Tl*pkm1JAqAC&y_Iy$xEiMXyB zuhp^Q3_marbOUZaBbC-ltKgJ26O2NwxVdt@FI;o)E)oG$vqfSVi5Xik;=9BR z!*zPA<}D{QE__$}0j#38o#`Br3ssf=lMKUV@kHVSidQ!pfkjcz1y{22%RSvrrsqGT+}jMj`d$@c)Jl}0$ie$8?Euo%7Bx-c&)%+Aii_PvxqUXX*I}H z#D+n}3;zJWF<2#5gw}oIohT4%-`*<&7h?{ahs1s!wR~a?K&z}kX`W^mc0#jj_cBB> z^ecC93yLcpJwEbb{@et#ovkqP(+pJP$8U(HDpf9z8N@&UV#U!8i_(SnVs!ZE3`nWG zR2t^l2klCN(9qXuR1W}fi*>zt`^BuQDaS@sk6EzyGZ_1W=}ikgff8077=28{&}l1H z`%3Mt))J>!b&qBmT;zX#^D+m*r%d%#kUBu}lHL7CwnXep*rRR1XUsFuwM`^bA48|i z&3MQ~pT2)GhB+Y2eMm*ep}$OrDx-&=#8Mg8UHOefAejsFl>!hql6mncr$Q7h7soXa zAS%Fcy~6R<+tsAAz9`EJ=ftt9Nx(Xajq0h&*lq-u=P?$XM+sIlkwWKCtT_Qe?^4rTVWXs=NC9If^%B6DQ>!DgSqczNM+@T+ zn42P8s7lLKu<*Nujz&(6%qxmyy!n|Fr$NBQnvDWDF~2`CK8R$ztRk&T-*LvZvXx_k9XVUR)O1O8<}=<$vzyYOb$I@2njiH1hELv>HRtbmQHmkYqA4c+I9gThcqpdQF!*A&ntp>FL zZ}LzZT~dIxOTT}lNP(%et}!So)CZS{@m_}}XbzDs6EVE%Ga2V&((Tq z)c$?o8-L$3k?=tG@{*m8lMTs%<$K}8`6wQ~Z=jrq;6qVKVwF1*0Yh(sSOexY)RgUj zmYv{kq*6-ue%b#3mWfQ968?{*dcWhedf&b$t9X%X`8&%&E`t*7s0Kh~Qc~Fsd*WvT z;u7GZabMA>d!7A7#$|*t{{VtNQfyH&B+F=CY+SOi8^hHt%2!y@!(n{@A(#fJ=>_Vf zk8zg=C=;VB8gLbW=~9lwCGDrbNoc}IayBlGUZ2i1CSLKYGCTTo`h*wN`Xaw zVxP{IkG}K?a28PK<_dG}!Rh%-V1@H=w0w~338KpYVcX0)we2%AZQ?1;m*WUBQsRZN z0TLpf=jJR(R&nC?LUsG587H*ZK+3Kwuh}z_X|&P@T7zTCr+HEt0hH6=%m5(Zr0%F4 zKj4mW38ORU2kHL+2c^M-8b&51qj_)d20H+v&Lf_a$4PivH-cO_ zWfqfF%yPtrLlF|Oc^LSaZB($V+u{Ar)&)Nk2;JGK<35|Fvn^5Eeb4e>RNFgDOY0Sj zF8=VTHmrd8ftill)7Ety+@3yWZMeNN)=?|ep}7i~o&;koU?r{__1X78tI9uKr}U}P z`oGE1`lTDV@UU#(5TTD^2EWSvL-Y|Y{U_!P^>5h^yHfok^kng{c^mO819w)$#XBC+ zud7C>cV721>89B#Rvh?^JjK8_3%mNxJj<+%znQ!|k7g#79+8pCTV|K23;M>_^ES`d zELd@{RZL+1`sobHhazTF&`yWa>J}k~{trTjr@+6e{{TPyh%jat(@XGN7(FGn=H6Ji zAY%fkb*Q{e3#f)pFVM1+QDFU{t{8(1<27$*h`$4Tmb2y+j80MgArUr-?C!%yqoDGo~HC zM7=+Q#7Y%k5zKFerpbKEVLZq#!;Z0zn(Gv)7vkjRd#1nD7K%cacr9U|`C(b+-X0Q> z&Wp(zS1Dl6-X4d{zy(@%wHpN<$Q!6C>}b zrMp5w?!QTfIAz2(Lzwy=gnq7`f%*Rc&;C~i46Cwyu`Ucf1`zZZF{@LvF$cMsZR5bS z>kBKzhqUhStamb{LRQMR0KP}60N}d&#cIwt{{R!+{URO0JAR2yWJ-gZO8NcMYaz@A z#~1U6T15FX^XP-TpAyh#gQs{<%gON!p&RZlCdB~BTuP6!C1uz8Og9aW9izgR;vlZ; zhz+eztV+3C@d3k1sZAl31jWDZB8rgZKO zSq5)_0a45oBr%s(1Yq6`pQ+w{l>4-exn*=qE^ zpR~8NvH~k@@_%*pN`Mu3yE`)CggC<;4j6) zl^Ko5nUplbhQg{iD>* zvObO`KSIWb9j`p~iqA`^u$jTkf8}4J>0af|BzVW#SuuH2_qo@v74}H;%lPXNt7n7u zMYCJF{9GH4Y(DWGPU7M;gVFURLTs4-09Ihjg9Z$^Fkr!h4@LMtBp6R|Ea^A7Ro>h; z`xgEn3*hZSIDMpsPQT+Y8q4fonWS9kyaV+&Q9G~Hz}6k$3stR8FbK!r{YDs_%sP<1 zDf>hZk}lz&=32qIO8CZW&5SUuYGKf2_n8PQOv2YQIE@u>p-S4fw7W8)6_gwrmAcne z^&f^mHW%3N%i+4sj?S6gS`0F?wD48bP1>IKCo{%j$=1&H1h5^{6bO0@ z8E|6t-v`jJ^fKzyf24Uj2NJt3iCbacSwWFd>M=-9;@TuM*9-<&{lb*aD z?hH}E-;6Hkth35YNlwZhyME&>pMLtld3_Ty!3AFenc$Q;cocnmiuyFBhqS#Wd0!f{g2Wv zcFR4HRE3_y4XQ@R#mJk|s0z*7uhgb<9q<7kQ*in(DDQPv z&4(tVn>|L!ho@}LeGn%St^zwGJDaozoPw)~NwQtN2m)NvwdD^gjhjO;!#zpJS-KtP z#Ln|f=_x_WeWlBS^@8gK4#{6Y1`y&`Q#zY~&_`rX^(mC}_aQ%Z&FcgNx!9d!(iOG( zz-XAt4!(QB@p&w-fcSo+Jr1+>Ao-r>_=*6IihU`+b~?E|KWVN*$V)JIe**Z0^;<7; zEUA0qs8{j0aK~hRWtW3(W;0jpO;-nri;DumEoMtFPS7eVaong2?F6dFD~{H*#K=ie zN-nG7HDg$}a29Wu)>qRtSbgIc31}Jd0;xsX{h32IO)=T%suhtl1+h}1r}76OV73?Kc} zY7ELiDJlYV=09J3QbJG7`xBUN;lh!L`x#82hKZOK9qi|9d+rh84iK8R^%i|3i# z*ri9!zjz~%>wki#z&;Pmbsq^|cR#tcsSQ3p!;#TOMuCE^30M*=l+mz;3iB8p0@ z#!}rwG10bnj}cAO=@2H#aCpj1TJX$=+iueKQCDy0SwX$ujWM(bROEa~c_1d=n{}Ls5(hNL1BdiFBr2dqvQH`uY<6 z4^O540KlM%mcY>uOyO4%Z!*sjF?31`0Qrvguaor|)|pGx_F{!y$GtnAgC}u>M>@BO zbSwv?w@5CLDEcv2YCC7IiSClsPE5Ko+if2Rf~nIayY#~?72jEt>Cj6p9iF)Wk|jY`Lo^ku^pQFfS; z_0v#o46tM6jLj)4&|lby*C+#mqV#beOS!bgNttz0!0G=0UrhT#To%kbm(mfKJ-q@$ za+2tW%dhZCjo}tH5v{YxZc%$3r8`uHoP5V1Hk2S}RpvSsKvYZW?Jd4~;1cqR_Xs!6 z!@RazmFp4|Yl)&{7mkvyGPCb2i}cSh;-XQh_$WSlkf`1(sdZ#_a;HjFg=p&mXtmxS z%td7wTEh*NbQo2$;KJM3Iuk4$DZ?qFXu!>~{ilP_73G)R0)9va+9^$sm_rP8!UsTJ z6_|*l)>iy7`b}WkdNAYE*iDjP@=U@JWy_j8KG1{!s|vYz9VOX^p;E9jXt1<&AJS%W zh;1nNnEg17VZWfvRoH;+AUXzq@rCt|b^;br!yb3$H%Zi(W%h(|zDZ2wJ)R+XLiJ;r z^Cp9=;{%r!Cw2>p6mBrUPMg*J(iuD_e97XWijgQWG+*xVL|t_mR;@HaaG=UF<^nDk zh1A5jV~JMX!yiKU8-Y{Ume%3Z5~6uUlmYidx+(Bf6mj!!;%Yk=G6jHfiDJdSP4g(n z(a@D*=DpBcdO;sjadtk_JUh(m&sG&%2B5+WIFvMz90SC~rs1Oix=oN~RHeM+U>aZ| zVqNGM2B@>;jmxIJ1YzhGIg#w58__b(H%50JALWm&|_(9+az# zx2kj*cXaeMb(TQje_w-$LAxRV^y%vgX7WH(1ku2 zRRz4o1;KlD>Oq7O$3|d$+FJ2Z!n|C1YI6aw4?XT0=ylBESK1WCiNr5|*t80)PO_6j zwq{qb`GDfm4-iIXh^*omTRcJ|Gz(TB-ovaS=`M9j7{o=LBA?$7mU3YYa4Ot%4LXQ! z))6L&(mu-X^7>ng0-tEdlfx5m|| zV*`nCO)<)-X;rq^GH?>gN~rohd3G?ANMRxAKs=WZMpXS`bW6~6l@@)HhAjF{p+?a; zm}wA$gQEa>61H)J;^vj-q^u07TN1oi!W)L1rhE}ZXyV?Hl;ssO$I6h*Z{|JQGskG9 zi=uDdI58=fP~x3=Zwa(q<7uafcpY))GjEBcLXKtBp)1Il#7Kx5xZ;qwpX*?&Bs*Da zR&xl4IfV?u8W0p7=otaj zD_>|H3AtIE0DQ{03;CIh2dwKIq8W<7T^jmA3B27IbTY`Gb=(0B(x@t#gG(Lw zf}N~J0hcG{AYaLEx1lO>bj&hpVhj#_Dj7^0GDScdzVQ>JaHCO0dESc5qAQq{EN0g$ z9)hzCp9xBiBZXDe7n2Oc73g9O6N}8P7c+vc;nN_8QrWd!qUJ^g>PqJJGmd@9lXpdK zFBLw$49gN7jAhBn$U@y+Lw+g?nV4A@FOnXs6BLid${hSrRdHA#)Z&>P-{;dM)qP;*4y7a>Sst zyuDx!t|OdBL)tS1!%^^Pj9^m@dJ}vvq(>T`mBcNlL>TsB5gWNl$4uNoZ!UK+mKBV>(MkJX3>7(zjCgMyfU*db4ZRZ3Sv7{!y? zZpA?hkL3RVB>;|Plb4U$1TQG_aR&EC!wF?@L2CTQ%}d!c8tI#h0a%*y!^(UNd6b0Y zX1xUwM{ujwDt6Dj8T=7QVDFxfUoer4UW~bMgTJj;<v8m5Og1T$;1tNtM`wWpP?@|Qx6fNd(U+=mVziCk}S>2 z{FbL@e)H_v{LK7Pt!i?gr~(cX3a2DJsLr9~gr&U@#i%Z=ut1#80hf3CM|TdBx+7$2 zG+-YP6naO5Kf|m%G_)eWq`;a>%oTGba~|LLflph4iqU7{3zyZVQ3!RT# z@9pjBzoZX{rYqhtoU*LX9w*X|{+!A%MUD>;lK1oq5$0xGK8Y|dnc9pAa9wjqNLDns z37bb~tvsq!@&gst9Gtr`5zu4cQMjjEyKB?forrd-J_ou(pL@e7x(P}=}QI6<}!8`rM*35H{u&TaIcBqJ^%_KuusDKRyd2Q0>T2eY50!2bZl zNsvB`e>D%^qlIq1c$J8(dS*67C&QTKY_rmVQxs-(EZb=|M~7%Fja2D%)(PRYj*M8` zKG9szyCaTo(42Li(MQEksqVpx<&c zL*O&}fZ_~->iGGbl~V6)49GLv#3ntF+vx9CG2M(lQ?>ca%(L#9{6^g;gE&Q)$vEZI5&Td-EE)>e(rOpM)iFFVz^~vR0IPw+4pI%#O9UM51!Vxzs1PZDMJM zcz`QcgVnedW>U$KoUDa=E_(fz>c{ ziBy9s1Xnum>cGukVx>%r-eCFCm6_{yNV2W1D=o#k+YsPjh*VfbT4$Uya_UV@W*Piy zb$W#IlkNM69E~!j?V{fCxqDiv(!wwPl9VmOUtP|hWEBiMD{y_%9X=pw9u+k_v3&mk zcAsaU?Jg1)RSx1^lZ5XC0)!^GQc@Dt@WJL)Z#wmcE?31x7Oo_?e?o8i0b) zsWzawN^4B!UkJ@vtV%ynF+$r`c!*^hm)1LOo-%Y7?<|h3LFY8!>nPX3<_4Ep=)}-= ztxLlY>nEKg$OXz%ToWsVxVCK{K(xGngK&JN#bkwDp@7#i$mfoRfOn1W_kvw*B>Y5ilRqo_?=D_oQp)i$F2jLL(}%MRY6$0ClFjFd z!6uilCJ+G)#)Z0;ZOm%uGS6ho-br^+=|mkqfT)9)cm+I#}en>m#)WEmolDT0t(w%*JZC*3^D zJ*DaZlvC_hJrH}Zq-q9!1W725bfkSKi%lmiunn4HpX^N+8|&fwm3AH$;o9uqv@{5r zft1?qFTml1xM&D;f}t10%9@2dVpKzTsovTp334@GN5le< zriiFtN7_F8TXTPXV#Iko_j`Ll1*DSZ((3@!%pGR8*RFM$&v&|X{^-S*1q2QUm%rS< zXg>{qev+Z@=!^0|3``?Cm!)G&HJ>k{sOKml5s;R1UPM%YY2Vw>Dl^a83; z1Bp-NU*6I3fbAWZ%WTIT0-?BQWt)Ey$I|q7*O%}>pq5&M1+YS44|wbw?*J4vRi2RF zh(@(zF}1mF!ll>|bp#xp!vO5BNNuj3v&=9n&3mB;HaRBp#DM63>@)iSh95=sj<)94VxJ@{Ug!kl@@6)cIG$1%(DtP=_orHY{I3y=ZB*bhODlY z)+V`eXTJ<27|2uhlxDUbYBECZt%~Q#YE-8zaSmPcuul(2fu@zY8MLS)(H`**WIF4m zb;Z8KRXOZCe?PC$+(z*8)Px;4{>Z?AGE70zJ)5XU;HF^-Yqjvp#JgNhuW=fuS{Z#{ zzcj#RaGpIYtjXGaqp(ZM>8@q6o*~vixt2%E#6-AwWk>hx7!ICM01=#9mFq2553~$A zPB^qcwun8IiI1S>-f`{BR^QPubd36BIuYn!GOX<@0R}=P#wPGhD%A)vY_ab|s&cz) z>k38C#R=p6VyRM(l)g=g>;y6&`wt1G4Y*!rPY=9m+($2l0APVnXf2HDIb((@DDH8( zrg(0LQv3`O%sj`-b?peR5pf1ip`>UzwB}$FWc+J$1`KBhfPSd4^Pa@JpCUKeCf z%>4-3`)e+ujg54lxl9f0{7Migsj^#WUucxl4Xm+_@QJrM_kilRG8NS-VuL-&Tjbh& zK;E@vsbSgC=@_jHD-0!6>1I{?ARA|*pD^Q2;%F>hEqH};t}ytJ*k2wIqGDnl{{Z9R zVb(Y={DeBp++pL$mZB)>E7n`Aw@KXum?g<@9J2N=XqzDV&Pe-9t;O>$TvV@PE;!A3 zacoOK-zi^M~nkpc6@a!$fowYBtI=;#(kw zgJC`COjn32_~I6qbbB(>y{(f1y`%fY9c@3vmI4UmNW!3oSVa#*DN4u51O7*hs1tXa zjMWCE%Y+B70tfM;Rz1&OLS5|x7Mg=iIDKvp5d{FosvTuYbv@=fd`cxVJd+UY!wg)z z@~SyO4K@6g2d=JzaaJSA1kdtJ$oOJ7z#SRPSHcd#DauMnDS%|5%(p`G;uutvaDVK4 zy-h`0;&=&=+X;4>E8gXz1lQ1hVYc6D8tLG#Xo>a3_@r{F;VSjwm(r#P|v2b=nElSmQ~zKy+a5K)ML`G1MBEcgV7eQ2)N8ctglTF z)TGOk#LsE%3~7`FQUihdLF8Wt=>u=se<+Q+nWxDuOs(+@2Nd_b$CG?SBLZBR4zU)5 ztg?tFRtZXBiaCmnT6;VF#iq%v+^R6z6h6?>JK`%S!j6Qn3hJ351RM~mZ;{Y~m1km3 z64`io^nmI}5gb$}EQPwmrW+8H-XEk;A(sSOyis)Zm_#JO{ijW91a{{U~y zvfzDA^5Dyy#mvkaKacV*C0KNnR;kStezV|}R#&7bCBk{!i zu1`!)ye-5pe#D_I&}A1v+(&D?OI_fKbvKmj8EThhII@)C#AU;{>IFjw3sJ(K=h`Se z);4!IRCfRksayal97#}km$OQkGmbgqbagbs4#&Cl2{LxqiWvK ze7+@kh*9@2`YIm}BviftO+P;RT)A;(E4&|Bc$ew9Z%7>eCIX4)&>@J+n-{;RZ|F{E zK98W=yc)wBng_(+9)atp7@b+>8$#j2e(-d|=AmPMNuHDDA=Hjr4`!mAJOdT!@WkNM zS}hpB$9NqR#9eAw?XNJX+q9;^I+DPLhccLLR{^AKF^ejU&O01NR_t-5CFIX>TceW{iZ>Y3L2BEFI$oTWh9bFX0v%QFt_rG8`m!e;EbZ=l1Xi3*)%-ui5m!f<*^sc*h@pZh|VJ8kzvROJ|vPz$dj28PouT0 z$sicbC)RjacS0@$&~gO=bIR{zl0oaNSv9o%MJ`Q`XoAdbno z<|&uu+a!`+NQ$@XBzJpeiM8qgsD0`BMs||9DV+;#7(F#4l5)b^E)2$QRY3Qsnk4Q}W!|#omz^v%) z5=Nv}il9tneQRmpQ4wq#D+9w-=6{mnn7^Mq5HapaYaG5%rp%-+?9avQ>BG7wDK=Q7 zx%6$RVb!63UNwfQW^^SJH9*XpeT|ruQO=c|wh;9zBca`%pPpS~Shsu#w$iC#e|@j` zhG-o`oCa6atILjTCF5h~(lOhs1;avBwf_Kw1!x~UcnDpF6BBNbWMTTY)O?4^$A$QM zDZ(~`oTBo1Lp!!$O%dfQ4?L~HL}xXLaw063tV?wxRo7Ry*Eg zp%7rKFA;fD?+rJI_L~wYv9UNohx|^%GJuedBX7Dq3~S!_N+m_iGNLrs{>;Vy02@#Xk}1Jn8uWsD)B~cdmbnHy0KQ=sk?P=C zqqs+1O#NZFpeglqGuD~ZFiUcfF%Va1gpNF=q~e!wG&XKy#lft(z1%rJcjY+8h_Hrp zOW-Ht`jm4xir&uDr94-f*{J2}uB8q^*L=Q8G@%f#cqTdj01+lTr}ZSS@|3GCmAa@M z?_Ny)IJ7s48!D@>X9iSS@&LV+=|DHa%=X{09g-Xjdnj^-E!PZGGD#AVEI=}#XB;jD z+0s8p{{WcV7C11Oq#EEbzl;p!Y&g_}b6d1ugJn57Q!z~^{9KZE$bms@J#rhDE>q<> z>%i82kJ=kICAA}PDwIu?1d*m@A$OKhPGqh1=w6@XOAw)91r}~`?Wk5|AyRw!9;>Hd z$Hy0d;7UA$)}K6(63nhTgSCK@UNbgd3-4)&VKI3Vk6Y-W+om5h*jD}WXK3gww&jxK zSYiRE<^3j>vuWAfvl{t|9hQ;?6pG>!1w0XTj z01-0ly^N0Z#TxhGbIf_VN{%&1XRkKTu^pa*_}pdbc}S!(SeDx~6|AB;GN~fbqjC-) zL65E+4G%GpKD@aJ5xF&_DcW5mbI;f?bTXb)5xu3z-LBI%CgXEZ5R-p3&r3%wgB9&= zx-$_+Wv^X`fHTPDxX;Qy7XE_4$XQ&Nmd`)C+6KasVmY7VmY61&1pfd+{W&VMi~>vN zHov&ekf1nT+{{T-ETFE5WTCc`ZM?f|?B(zNp{iS5CwZnw!24zOceLzpaA#3@e&f)Y| z+@EC^;@3c*mj`w4*8C2#gH0TJomC1;8XMF8U6;+8rDjKz-}o^fYlm$K2N)yoOthf; z#({Jg_kGnjg%4Emb$~&urykR2mu2D0Y4BT?Z#ZVG1bzNrFH@+sc3|`a^=OB7Ujj4H|^YX zS#sm6*<2&cxsx5g@eylNZ(^YxT?4avT)BOmN+u#DWng32o6G4#{RkHw3>lC9H&cCF z$3fh~sz5t48eF|EThRXi8|_@Va`d@!;>4*LE&e8j9mD?sD2rBZjB~EBTaw+xdN2*i z^y@kS=J$d82lh)FKQrJ!xV}FGiEO_o<_B};p3Fys;SNjWpAqK#sNp|1wZLwt)1*sP zJaPMj?dT@TK4ezRBKvp#3lYJ|D`!}K3sZyn$jvjY5 z0y}}|ML2puwkLv~@}|$})&;lK-*EQHdrLcd$F)E?yi`-yW>|@|iLnV} zy)Iw)vZYEU1#7lFrLY1psnD=%O^}A)7sbY|%f8YMYnY&}>maUAXzddivN zzLK4KapMfJ3uj2TVEkYVsdM~@+vEEZ?6dg!ml4d(cS5&uuATczkd~^h>1WwTWbPuR zlXHH#_kjatej)*`{{WNQc~Tee>k6Z+Kgof+r*`-86F^yJ^|w2Fz%|0=xi;oJEkV~e zy+j6P0EL&`>kd?`XU03;ZlX10vi5fRL2stNJ3%;1m3fGY;`J;vTnm(M2}B!@MM5&6 zHh+<;4$#naB3T_6kt?DSr?_-Hc!=G*c5>R|*bBpFi#g+&cvpB(@_g+vQnS0ReqjUw zP1hY_F+0~$#>}dLXsakQF>txQcOgq7A9jYqH|ymUQ*v#`e=|J7mZ`1$BBQK&r^Lu` z^mf0Qb8C0yVJP4O&aR+kBGcT%1mgbx08mRL`2+)gPI}A8RdWKPzuq94!%J>e#Cq3n zyhJI^H{v23gM8y`!8Ri&sQj_!67=a`h|)6(^3Gy5Kk@PT>>Xin2B(rNdLtH2A|VJC z#rCMO3LUl)hNod-b_i_^H)yipX3ptv{H36Eo?c=&E*t(~01a=q4k1mH6TiwZt;>BN zLevL;ST`Ws%bJ1}Hf7I*XbWzO&v$&WRU5~>;t;kRTbSV&k9+arSpht+!Z>6$<9~)N zb9f9DHfK(EPkBi(cusrSL z1n|k@u`ptz&2s`;dLIu-fG1FPucQ@RVC&a!C>kC1d+~#&Wy&ah#KQu;d9UP7o32>M zL2KmcjyPdTqmJ$19nAC6xASLP6Kni>LT6Y$_)XQIa+`G}E3Os4ca%aRsQGSZ{=xx$ z=5GP_ztTFFl0D)+cq7h%RHbp{*xV+~NqTD~D@>HYv2ZC`NMZ}1)t={GjL`%?%#-q6IYCN$Gjv@gN%R3DW1o_m^+G|_+hcu@d6eX zjPmd55U_RPY`Sic#Z*_6mUU%#|(NqO+8Xo02w0KRb>D5H*-GUCMN zrqYplmGz4775Rjwe113ehIiP})Jn>z+gs43Oc(%0BV>G7C1TtD4$|`t1-V#;H8AXk zgd?;{j7zcyN%V%$!~j`c{nZ-7i=L2pa~(V7iw`_huGCBXSIogW)>+QmF<=Q?dM9^J zSSM@F+@0NGDZ!D)HQ|ZQ3|>I58jR4it^DHJ3wOVd%v#l-`^UUCNZJIT`Nkn>XMdlR z%ISx=^(EIZ^{hpZkCd;hO7r=%=TItFvc5*-LEDr3w@(ua}gBO3nj&t zi|~oT0@`JJpbELoM%e}CM;RhB+wS0_7Sd_;m) zm#_0_SOC%2tA(91tn}tL7%^8UIQzsvX}|HCoMGe!k75yuFL1TZy`>@*GL0qPVjRlL zf5cwR3^i0%Krdx{1|bO=Rb(rvA;f^ zv!8z>q&S_c%I0LJJ9pJgYn=?2*am#iJXU+*w`5T8F1qLsUT<;|0PxJzM~ zP#$1Sp$#Rb5P41$09;PzXJth9B8#AFa;Fny=Rk%7yP-9`2ug=atcxj!NObcNgbnBN zZW6iTTh(VnyYHMwCJVEc6c&z`o5ZxXtA1+_JpSNURq+dLO!)UhR9Kq_89wnaW-Q@& zezD+<7V_sFGdic6tYGnP#Lhpzh`%%mUHHw!mJ8p%tN^E^vtc}CBJAA97lJNJc+H?b zN$(nzEAtYw>&7-g%`+)+&)$(PM%MnIg5B?VS0Q=xmlh^M;ho$dOQuBMtE&h^1F|8A z(^nSdN|!HEaR!J*saKegX?lzqb8du?2g!)np*o=8=zVw4* zFLL+i6EFqeJxt4>BW?ckG8l(GKSJb@hWLM>!i)qasB>F z^}Ra%Vp5ZGFd^gf3u%7cO|;eF`^2|~nEuVAcl*3u78mUXGMb74R()VVR|P??EG*(y zqS6*lEoR4;j4ndLmh?2+9rZ!HEh;fmrAq7?(1nDxI>E$P##F5>AY1*?}-U-8~25Et-NvkmKxW0OxH5TxS6xLzL5I#^G4O~-h`EEJcS4-RyQpi^uVdVXgkPZ#Fc9esAw(0to$r8Bf1+cFl?4;=o*R*fYf=rZc z_lO4R{KPWJ!am6h;mYNOJRa*{ENrLT|wlAQ;&klTHwi-WAwfjMolr}tf<^~y8_h{gJDwei|6m=32H93i;g>(s)>R!4~Fq~Q)x+I7h@BTDR0l(V|Ppke@vjd&uHClAi;Pc zZ8L2l)i;3z?BU{oSU66H8W1YAr} zEy*z2RILcXiU^SG66LQ!ztU0CXoB0}ILTwynLn6 zlA{Z5L@pcbS-Gk-ubmieiz*@0hej(3&

QE^ffXC0yu^tPd{bs6Iu+74^|!xM3D% z7*0A?;M8rIjN*b!XqppK&`Ru@yoMV`OYAbWO)7oQ>UV$|G3-5ym!(71*F1=zm-8M* zJj|fqL}q&WZwKGFi0Sw13soO}vhK&D2#=8Df)?So_G5gs~7P;Dk-#l>)DR*@<-g3HxDP;aj+$h zjHpOQehK>3l^Ic$8BsMC=6;=11}INBHqWa2N8<&BUnCip{ddGVypNbSAA~=kd`#H- zc|!jHnhq$-)qUY>40k{&TkEWJ!{vwz58pZ7L?E|C1yM{DEJNl>5NhPZ9Wr5GFeb zrD%~fyUv7W^tC`rAZWByv@U}Qvv+YXjO~jT09S;nS=wS9CETl&NS-2o^aNn3vrVS@ z12c^(7|~f#wu4ZDCc8#l%8nv1MxEgeKt?RaW;ZEx5RqM=Ff1a8@|+Ox$Lc?D^S|BA z)A@t?s2#_t^E&InJ?d;T%KrdYFc^5__cjLN$4f8V`)j%sjLTqXSK?p9?qV)+0^SI` zkS5{>b+WARhcH2}ldK95VcwO}Rt+@OP$lT-Y7tVHl@wsqdJh63KATO~^9zag1Zc9R;8`iDv3F zl1xSgY@%hu)Ux>T2?8fQgXY%~~Ex!{7kP24IDG|z<0Ar1jJAcNDH ze%u~N^KfDDDUI}vAvv7O;s~jkMiSY25wsy%3kD@aF^-v(5zxAX5p!rl*Lan@P;(wC zIuna{JZSHnN*L-9J6A0)TbHA>U=uRph{L=hbmCk~8_P*)1>J?%a>V(S)dtBhAqE^p zPGuMo){5gQJ3@h-~aJ5a|};Rt8g@7J((Q+k>d-aR!tyZ%2qiI+3Z3E;wb`7^Tb7qYWJw z0@WQ9M_bW1%9V2pHKC)T5tl9sSc=S&<L8g>)*QgvQ}vDiBm6rApG{E_4+vj)GB% zC`G;F8M%U7bD-WNH4D(ej!C)*OP38BxJuE*hKO2Li!PHN8&ATUv^VDkQ=v zMuo@hGz~48io)Y^r81dmXe~h#P*}mE4H!z*l5Z*z!!KIVP$3l(V+FGkqYlYaaOp}K z>ndxqb`^5AU}&=RV-9l&glUlj5||MPnq?BSAWDgBNNLreV?n&E%yFk0u!J^_@e@tp zMs20axEYtKtmKZ^!$piuEln2g$)JK-Ch?UjB&g^yQ81MoM8@usNl1}1P%%c(R`RA$ zBhpnw)LAx*5z)hOTYZH}m7^h}4h?~npOfuy$w7f-&47qm15Z89f5zW9HPGJsX z8bfJ|P+oLWvR%9=jN71S&E-pDO_^;;Q5n3$N|m82M@K_KSupG&aKv>Gi7FTsE+w!6 zvc;<0s4i_AmL|>!s&t?^(B*UxTrp6#(OQgfXe&lAv`Gl0vLNbo7>=e0y^E~^MZHaE zL309b(bRzqMYtJ{W`-igga{CqE+R34S}q928M79k+_=s7KKdl9S5`xLhE<4bv{vYH z%1k;mD2XE%P)0Jt4j{{$qqGXp>v|__f>dJTN|h@`OVe~%hKw}{S}hk4WJh>o7gES- z8Y&1X5rde_k)66#QxP>XL98)j#0E@O++r#q3N$E&HkRi_#D*gYR~9kWyHzVoAxTaR z2(1zby5#~@OY98`36`S>#I!P8y)kW;-hxLgsZjD_F6fs?Qe1VZL{>qvJj@6+mNp>; z#5+STRIC~*R0zs}DqLgOf5PmrRH+=xlN?DjnQcNTI-c@!2wBVbGQi)QARN60N z24qT=E3poU!qk_TkhB*fZ=hQYLKb96QN%SO;>w)NvZFvl$~bgnrZs~oDULRUX3B#% zM3t)u_6)wsD?>|_Ou16E7l~CCm8C*&sg^-DBD6qbtKD*_2b60#}F497vd!MRY>SDA5cSX^<517j*9l&V_HLUY!& zWyI-Hw7nN`J49edXn|TSMeHpsN{I;RxGb^+EWoWUWhD@sA=tTci0D{piE|;$2^2KM zj#o06NmUF>LppBV0D%+@0N{eB39*is7PpT?&oNUCwo2Cf192hQd`#CJ9L94KL2(f= z6De{ADUBIKsYVFkwku>830hpODjUU@p(--QrA11BRCkf4Sc=gqOBRJ&ol(#vMA}`v z5{(`RA%&9yXk!CDuMH%g{nheeyiQ5OtUM>&~dp$cFz-Y$y+ zMiQoVf-y45PS8YZj$($`J29qH0*wt6%pPWHWyM9p+c6Otf?3)Z-T;o!*LQ4UUuSk= zMT4C;M2S-LDHaD|B1F5&(~+4v44~B@YRb@!oJ7f`MlgwascUkO(gfm+If2}XgQC(c zH7Q)JshSlSKteIwvA_HX=xM(&!2u0VYJKkX-{WQ$#>|%*2f}11(ttOP266cR$rtCNH+3?+`Xqlm6=xLWzMN*VT?4a#FY$3Fzm2p%)C0_?1a9`y+mb7(xnh0 z-kOyv8k#ILtr)W^yQ+>c%{`;heuoW4nqh+gC7pO$bNX}?UovV=onoj|?GWtNSxX2EvB zlLSN_T}RQ#lV_I9gC&NyCx55w>kWo8;bHPg;Fev8obaK`WRt@Nekk9mKBr#a%rBLT zyR?7td{6NO?EHVZABgsk&-%Sz-DLj&tmC)*f13`d{iXM(?8kf`q4|Y0ADDW!M0h+b zS!aaeeI#wt$w7zo->I8IXTfEX^d!AVkXZ%PGbNH)GFt?(;1l5@OD4|@EG*d9a($NG zp*Rw}$agiqL+HtJF2QjOCVX8#q zJZw8IO1dr$Yy!gZ8*-=go)00j^fPksHuxqP@nYF+w%;3`He%b<>)^|_3+%rh1|FxC zpW66m;Qs&;{SoZX=lu8zb^ZSU)UQmxzwQ2DIkN2j{{Z4k{{XADC%9PxLwrb=Tiw1< z+ULS+s1E`oh;wV*&tK9FmcDvEmSzy}c)(z;lf1~F@Vg?WH zW0B)=*pA6Ty<#3BK8V%{tqSRAtE`|;hdAvP}G)Pl>N!79^A{~gq9PImZ8VQlL=>*Nhdi7Iv{ThTP%l*a$+-Bvr*niaWUpijx26kd6WGR-v*df!N<^c4+n-};g1;% zx|w~FJ0Zc0HwB07JdPFz{4E~7pdAwXb!Bkl{Y>>~#Ov<{A7N+BQLOgIMqY=Gw*`mUnK6Yx*Ir zhG8z$V1^CXgUNji_&!{)Hb)60>T&^Zkfuk_F73wA!Yr;a2$Qf8@<6#@%|Gtt^nyn? zoba0f%#$5We%2RAdCi^l!XbOL_^@-54ol#S*_jQn^FB7);5i+9AYcBiX@sPR75ZOINX5^r|L6Ur7pmxN)of^>wl*VY?l zWEMQ%BW0mJkj6N8=GVYJ37H)BZ*c`Vk5(lOB0pip@z>E_$-VS;}Dz#kHq_q$#*&pEc&F~mwiUBG9Jhe zB>ILxC%zc!v4US}!0RB(OxSk_;U-P$V3{Gf`L(Tf2tYF~nVuFLTMMUzV`R4rb|ITl z^pIXp0X9wC9NjzL$UeRvJE%1mzhx==yC14v^i`WQ?kwZMj*4TqHe&RlJdK%6MX226}dYeGWmZ22Gg4~wZ8n>t{8NP8#b zfP0DaCUFm9{XPKv$aeS(*<;ZFFobY!E*qM;+lMv}Qe=Ejk6%p_^LQVU+&i0PhHXpQ z#1_Si7JLcz+_|#4yA7kORvqqUI)*cpKSK#~-~+u$Fpc)x&LK6HL^zgG8&dHs!Nj$M^&cIxxX5BCxbq|8yJ8U__$Ajv~65g%7NZY4y7(83ym~Q_7_Y#iB9#PFV!N zSukt!dSAh4>+m1q_}f>H?8bT@!wu>DewWOD+4uXxy}ysQ`Tiqc?fQSe#4oSs^#1^V zi1z3GpYQmOZht>-_xwb3xxyjve`tBz4rHf=gKh0@8p*M;0uYOdM{Qr)ST*ENOr8Tv zntacXr!2A?J>AM5O6<}7pq_R6&Fmzax%*u)j)M{4X)XN-@v!{^9(Tj#`j$iiPyWek zufPb~lkyk*g}*{*^nUI4f1UpTA-3$rKhbcc{{Rx+{{Weh{Dw{Ka=qUJECA+qkvPEK zV9p6)F@$)K<_y+7qSMrykf0z8v zyZg=_bNG>e()&v3)~-euw0f?0#>s z{{X*eUj*Kp_1O_cV9<9m=VMk4i8+|YX%pC5$8gDkoiA9)V7MccJRUBXc<_1gTyX)t zmgw0WOgn)cwqI#LA`w&PX)(e*?Y3TAtm4|#b{93bZ3GOY%1*9dV)V5rS zlPu0<5Y2m>OV2A$b{4?uU2=Ea@Og)VMoViJCk*gMU54>Jc&zXoM=0UUF_tHWTuj`V zCyQY|83)6SQfY^R4sFS6IuCHbo*w~iyK$QfEcYyMmJbuQ5Z)P9FED|k{KP94IM`*x zu^e~~v&>xxa+{ghc%1nNxp)H|pCzV5yK}NKd~Lc|LzpeNx<|@$%yNu-C9c_Wo!TQL zlK9busv8zV;EwKB3y}@|eM8_pHbWj>HMzKz3LkOu@h$KO;6JYa0OUY3{`s_boI}ZGIczwOWw(OC0wF~n#s%Ug zwjOcW#1@`K(!tA^J0+&uZ`W|v-;Si(Hd)7l&N-6X+=E~v41vbgc7{uQG+R2HONZ6L zmZZFVZ6j#a?Od7a#%!H093gI&+X}-A*h6j?B+a&VHH{~J;b$hdi6>EJtRV56_*otf z9lb}Vlf&V%2DjN0o~77uoP_y}!K6Fm9|kbG35JkY4#;Vju)W%I4_4za0jqU!nPl4U zpO~~ja#^Hl_AKMT$8GWqzROn(nBKWVY$eV~XIOmJR&cHfc0MD7xNJ`wJWL(8!@C_z znEEzeC&k5f$#d0*1*u!$5u@d3J_(*4ZEP+U+MepiCcZg$ zCke)94C>2_wei=3Wa3^+lpG}myd`@`o@xF%w^zSWWjfLw<1V%!5jSp5T|1rx0M{~y znB7k=4n^IVq+G{XFv;ZK95r);@p7>xnzPO9fO1J}B;%?0p|kTYHt#Wkmo^p@X6=-+ z)=P5bELvhglI59U5hH=&9;I)QKWk2MStO;7KtrBmB5TtMM7j)y?gGW|aV`Aei{4C82J856tgmP#SVgl*a3?Z1l!u~ST9J(n)SaS-Lq6NdLC zh&ZtF(fxQ}URw;CI+kmtlMd!K2I9{$yRzWiIcDi=*qQ4rUhR=oW>XvNwQ+TKV?Guh zrg60I;0%5HPv9922FA zadulbcK8KlwHxjkgJt3lZd`;};PDa3mRaX!Wv$A=4ty>i1m$VPpFSK_mDyXcM~C9w zj;>BZ@^p!dG4Y3OwvNJNwqTQq83@8s_}>pQL%fhTHqQy;%wZ16bX?t{T^l~4^$nr7 zNw8d<@I3C4LG-p`;7+e=$Dp@-7JL`B;dc~l_*pht;@=$8hO@+}9!s_)h)gllJhmJ| z!*X!KKe)rh^JTs^+trP-7{ezi?**rofJ*alO!x--B(UYm+%4kGu!265!3NpmdA7%b zUAPEFZf;@U7$cWhn7ig0T(OB_z?RvCiYIkzac27~wlPu%E z3FjB#B10zxo10-mWjr{w$+;U0x0qsP+^+0~o=09XE~lTNJTCdiz?o}pp>7g5Vf1sw z$t{P*+qnULp0;>h@se}In2rgS67Ps%XSK^7Hrv;Ywo&&#kGv+XtOu$6HwWeTl7ic| zA<69j0NAFwd`6#UIenxY7dKaPy4#lQryh&}(lf zZdw@;^3>YFlC5Jy7*Z***&DoZ5}T9Xd_=i$t2wQ->8v!dt}-i@QU&a zNzB~|&I#7MSuj8xS?4yj@?AXEX-80qGn@cvx zv*iacM~<#!k8pHDw#v3aITrBaPSu?9bW6JHZwg5bgIq(dIP`EM|Oh0$E0w5d=4JPSrzP_$b7Da~n%XN!p z2`^qYYzt-?;|U3eFpXl-@0+k%mbg9lZ4fiZ28qro&5h`vI9s*>d~C8NuvSQM2W5JR zgFYBHQ|BaBmiGD{Ik*Y$kFlBCVX*FSVay)V*4&q!m$J*AlHh=GdywL1Bs0`9&kg!J zvE&~dWw^&U&7h0hsDRP?+2TREnL0}8@bu%kCZbKil>&Lp$5X2ZFb)ZCa)~9&9v(Nx zUqQtA?&!2kHeVjC@VPEB*7aj4cG;a=F$zzK2cqHOjPf(d26!eek%OB@34?48i=H>1 zsm&!5OMQrNdWOXCcb9D@9XgkQ@3{wwG)#S2G49#4cPB)*zXUi-#?RZlT5!Y~XE{ z`Q`oL_Xsebs9R{l76sM-FrSKhi$13?k~xRC-G%xCg6cy)78xw@v*F)Yb)BW(ITJi2 z?I50{eO%hSP6Q)iWVl{n^*>o%!aYD@%cl^+CV8?9m7MLM+c!n)mBASCswZ>J_YOYR zlZxeRhI7O&7OkLjJ{gnpO^&uVWzMdbq8Me&4?alPTSw1U+jlU_@fTTdgz}FFAg^x2 z0n*Vh9tXl9W!;64GPvDyHj*B$%PjD0*n4c3-grlG(Q?z=0mO#NCt_Q& zKyf+t#>{$>JzFzz%KOS$BnT62?M5i;&fh8^-_w+WI%&PnP<4{Ps$S>rrDJh6Da@Ekjx z>)~xTb#z{(-vghZ+k`tSdXfRQNRxfZ(HQ86x@`NzuXiPS zVz1GI8Btjb5*&k)&ZD0&&AS0$pe;;aQvZtTDd|uytzd)%yUkn1;c~` zPX)U=oju)Q5X)y57bUse4-gYcExt=ftA{=u2ZmiXA@E5C-9vx>!~iG|0RRF50R#d9 z0|5a60RR910RRypF+ovbae_-nDB>Xt6J@{* zO3Y_u2Uxq#_45JRyn_m|{Ftu6;S|{TM0tG*Mf7@b-aiq@@Q?K#DeP)cKO_5=o;XZ> z%J!JW2%+`^^&j>}#525Pl2@C5xQpor@W6jHLokWcymCFK_fS|zxc=d0L%iKT&+2H0 zu>6rN(d3a0=lEtmiFOjh8G_Ykyr5&;J-L=Rl~F0KlKjr3!Z6ZQOg!Uq{7PTr9ebF8 z%*t7V(qzQ2hVHf!;8LuIfw#{GbdHWj28RLQ#G)VZP%&|OXiId`dt3N?{{Z>eJlD8g zQStVFB7jOf1SZ_K@OStRPw>8D0hI09b%CBCsBf4m-7^OViKkP4S_*QXS^vdaNG{D*e$uKL!2DypJ|=NBHId#`iy|Z$01srh3b?{4i!K{qYtGf9l&E zNbkRxKyGzM<}76%&b|_14|ke?mbXv(Q&0PcE(VeMez7Tj&HE)1FIxBM0@_z^2C^I;jB^@}Jb%tw!_?EMz{YxWNpQFdF-u@!2KrylUTa}4! z9HQBoLE!$w{{ZAC7iWMim10Z2EYa&Hfc|Y6DIYJKldJ zGGU4g9KpmKvhTzfY#1qOm&Rt9cxAm;G>8QS34%JrCW6ALY{i{oA$Jy)crqUn&(gO< zY-*{gx*U?>h+Girxj;8g-cswJ1-5Qb%F)(gf?VbR5lB)) zqUHO4{HZ`2wKp91L)#5fgJ*4X{DIKa**1a|<-VW%D+tiCRunS1R&YYk+*s~$JVfYb zCvZOM6@%emjC|M_Q+$K@mbQmfKu6GDfQsQ?>w!N@Z_0|9C&&45&S(9#ij;q!;Tyjj zKMpN6J5R!##_s+GG-P{zP=Ful%|l01S>M&f7B46InGY@yMXNkOvoI!a#m&HyV`-8bE9tf*!OOyzKV%byDoo+yftpfEWFN9X2V%NDcc<{nHH)|4OI z007bd0OV{l+E}yh-%sZbO5T^Z(QM%X9T$&99>1Ec&zw!1M5`r$T*EDuxP@*}Tf$AV z8Zu!qQweu4@eeZUCgYYbOvT;Cj^f-se8V-;JI|_kF9Aafv6BrO7U%#{Y z)K4`PF<{iK%*4V18Mq!8VS2R+Cwmbr2wO3wGqGcWCRo3D=JIE;6l$uFTR^2y*LdHi z0ynkZOA(-J_Rk8iqIZ`bTjZIgw%{qMEX1=T5M7xW?9mATp|X0ElmN7)epN#p+jpA%C*!Eky)$UiVxE@DBG-TIo-Ex1-hx?YdA8uXsq zj{gAB8?!{nZjynZIf2qLu;uQ~E{t?K2M}-r$*W%xYCtv_1#haWa5l5#ji?v72!240IE|(rQMJJG78Ge8Kj7wMtSqISl5C8xa2Oq}ES{+^w zZ4)x8OPe(NRrY@`&_5%Hon>Y-7?x2uR@iTZS!oSgY|Ikw9g}y@>0v1k@zTp0O5^!TbRhlCH1)H$zmI})o5c0 zg0s!4VMAyHY!R&tkkzCEx*2gk4(Q zVzbf?m6cGi6<9C5;-!EFSh*uwZTN)*ly!i&4h9bTG0!4-1LE-e+udzCbJb;Was+W!FL3C3#<417b(?0C8V~{{X;ZoCU?9T}C+U9ARHU_WoNqsZI?30eT?L2pR)N^Fe67Z6CO60w#AK zxkjbVT*o6UOgd-d?kX_=MyB#(LO0Tyn*~CG*66Gwj=iS!FZlyo?(N>#t>U0` z77p$))+(GaG`TF^@Ws#H_Rz;d&FsV{791#!49*(Fx)#t*8FxkjW@r*#oF`7YT^nQ~60QqpJy9*8AJ|D-12Ba^R4rb?K zU{`~EkM3iqJD_49b70XgGi-lCHtQX_7H^oj7GLDo7Iiv2pAj=#1%GRUDlJoQeh?;1 zkFL3EvS^D8BRqq~Fi3rzU1{eSm#u{B$9DF%w1Uf+wB6&oIlDeGRpgmQ^X zz(XO*w~M9t4@dt13omEz2knN-Hyq1H7{tVCHQqNE@0n2;+`ZjL6(|6S-yA~a2|&QC zXFRvlBuI4ucdc1bvEPl$MyO$GtHND$t`3pT<`5@;HWv>qZI=lqkZQ0Dr$;4ui}qD= zl%|*g2SwFhsEQh3&K3$SiH?}KgrbUVaiUvk(W!=P5y$|pkqj8dp{(i$7qGg`Txt_j zP;wN|HUek}sJsA?I?zDw=KF{gD+ZG`ZOpdBu-HWo1#v1h)>lDS&0PZV zMbtonAW8+SXYO8z;4m+1E_n9l4`1Vf8?QJ0LI&+Eplj1V(jb0Pyu;g=Ck(ZM32UcE zmQbqzwLEe_!4Pz=v@uYFW03DA;WL!G4O|cd!?X3Ue8ne(_X40|TGFwx5XS^Gve0Q! zKTrPv3Ug{#dt9g6aCoOa>GS-HDhrjdk7_-~V%|W{u~*;n`o^AYA8aDJeHi}ZZ_;Yv zVqAHHq>hfV+*HPrz0I0b;%+A(imN@hEe%luh9f7Thp1M=vo5{C!U)p@*u%#a8&LpAF;v)XF`L{x&Swkq5#hh>s~R?2)TP+Q10I&R>WHKl^=y2C)daKto@ z^Wuv=8+ERdkxqM}&6p`z=Ah*7mR*o2*s17(XyHRx70d3r+z{zHEFfui1>-NcunlLV z1F|QpYj}-U3;DpbdKH4M1S!~xlE#p42fLLb2`U3nhZ*3vj+w9&TmV-JqN-kd!*Rv~ zp@qY=XDhiY!9^ffMAp=R$wOQLm60z z8G(7`Qq1u%p7j>oKq^^UAcNJdS)ptl6K`4{fDd?eD0v2LBLa4SlmJ2u&rKiOTl@R6b&G0Rr8g(QsShiaR3Ds zZK`jWW6T7mW&^n1Gu{GqfM~6XTARz9?ioeUfQ2~9jdZ%HUK(**X)YyRhC`> zOaeDhGB_I*M%E1PpAlsRs#KQNT4IhzEoAAK1ppXr0ZQO|OA%#}90UgeLFcr>Lc$Y3 z?ZK+VG*coB7O{W%1gn*pjE>=JS#gY308CbpFi5+A)Ar2^fiG|ccp6dV!pk4zdzNIB~s zgFDAqf?dl5w3S+{eSSataVp0hM&gdky&KPMe98oU7EkN9@l)%Ai!W*5x71ro-OW75 z)4DZw-nNF%ta^W!JGK|YKWE}(a|Uz7b8+pt)U_UefmjSO#iecYFqbkzbvLPLv?rc* zPb!iyd^s?&t&ftX(q7raHF#iCs+yn*}jJZ-G)kLX= zNes}_(52B;P8qBgsqDiU$9Y8JVgO${(onjcT0Wp20W!m*XtWiKw*aAVdy0LL!LKIBeSZxu zr=1uf2F_*GW&374-bD<3!iu#MB&_0UIO!@~4kvU%jw7>soc{o`7&s^qgwMU7-991uo3Rv=ScRCG|v ztS3_f`mT&_?#wtP3U!WQv*934E43eZl~%~6nkt5W_MrF>Y_3)jfTpjs8OpU7I6G+r zev>4w)<6bBlgY<04HWKyN!Y<`yx8h7Fp>ZUJE+!cP`1N@(ty=pHW*@RZ%xbya9WvN zG{bNL+=jp!2bXw)fkN3w37frLw)7>FU?^K*s=~JlKBgkgRH3ki^ln^S@F7a5+GO_c z7R@H=Trg}#4$$Li=mM&>vpd6>E!7}{bl*yAwn<`{6PaYN>35!YHyt+kiNOz*Qd(j< z#O0OGMk^~=*QiNJ$%=RNc5xoSi&J*RV~}S{>)bZiqO$sND5{zhYka0pXA-(1FLdJ8 zRw=KURUTx6^l#iZxCLN#r7eHDP5%HK7cd#^EcZZDJv&U27K+Jbyp{-d=`&!_3#A9j z)3Krd0NwQL-Ez#roW==MZDIhCOE@e0K4I*uNoAhkf>%J$Y;)daAuZgiCJZAU3JA$d z)RH~#y7`oYgu>QFbFurV5#k87xSZ-Bh2NOz?F=_~olcQhoft0>#UTK44Lkn;aKH+a zwIbM2#p18L6+;69gBJ8Gr2^(4x1)l`xG)VsRVLFdu}NL`FHN1hg-ul@IjLCGw}b+N zc`}z=MFQX(cT=7#9erjURdfgyEI1++7lIZj67MO*Y&41CTWPMcih$8V#BJ_b8WsYu zgHAau&v7;ng*FNd8mGJ6U2J&9_Q_48 zY}v)b_mULqP(ZgH^#-&Vu)>sBRcn;3<~?Hv0aX|R#jB3Gg#j`-tL5P6!Ov3T2K7NB z3Tb)I+AhDEAZ|LbNaE@&1@qZf&i1v=U}KAb6w!c#n9p2o5HtXD4=+>Yj%disO5J$# zxnW{ka25ju8nQKB5(o@eL$_$iyH&2-)w9=C#`(? zfr)axzgWb3LO{2YzBs99Si=^kYV+cu{{YgVWSFR7b!LfTQanILInBizWFw#woDU=s zT|z#bE|um6y(=6zlu#LZ8Gca{`(X?B0LIPi{)$VNS&73cK-;z+=z5hTH_Sn*mAElG zqIjK1C1i0QH4~epaW2YCgt6#2?JR^51wleE5d_IRUzbhY-Xa6lG%YncU^HWmSi4T9h{pUJKip|09EBY z0@r-YP=O1ML*=l&^6oSoAV4K@S{Z(`5eB3S$VQb&^vvVI4A7TK`XXOB%r_EI#TC$X zzYW0f3#(~mYbL4Zal2j|IwjW>+SQi@J%l42jiz<-V4V+ekl3M@CB9|uuuB*wzT31S z2fD9v%c}}EYR1Hjsm3rlrk{c?s78^FPZ>aqLp+5z#jQj`pio)aX@dv=0Ga-{=@PXT z4pOTAOg?c@amLUXHVU;*HPRk-QYw>Z>zqB?b^-{n0d`Awpf^>wPVb+x*e zN@!LFOMlsx=QBAX_w2z>$;Dx^b(`TVMwSlD$%OK-_binbV0wDAvD&4_0ND;yAH|&B_}lEt6M?K-@yRFy4Zh6+?h= zSK($eh)v+tt!z5}qpX3*%(SCsMzQsbwd3BEY|zrsl%p!(s0Px+w-h$!o{+E|u-5T{ ztrN2^@@XxBDY`rQfL;)Jca0Uc>!uA(imriH;W*>*8U+Ho!A+~$lDgFu3fHt0ILJ`D zFg>9|*{P;z8&S4)ca|HPLF|ji6e!WoBU5$ocT1pebRt}1K-S%bL{<%zZw16gx^THf z%Fxwm*Kybbf?_Nl#pgEaB4H)!Kx8RFD>mj@Z3595JtlYalnYkP!0rteWxZD5OqHKN zP*FDCOiaBKhn0mZ;Ca+r*h*2f-T+?FklRajGL@XU&ZczpF-jJu%?pe{0cva(sP2*3 zw_4(5?$!(yK(Q=DtGvv!WvYO14LBP|;qFyZ8E3N>hbKJ7(JrY*mCf2~I74x`9}(E| zs7$EgL+%0^&6C072a}eh8`pQh#MY3#+w;yNURLEy?~?GMzbdd=sJ4WD3Z(8{*PlhdG+H2KKQ! z**ZP{0QsvAPI6KBjLMuc2N}m{n!M7ERIy|+nEwEhy+LZVh>fk=Djo`qHam|X1YcE! zXn`0?7cntT^?eAz6t;W+0F?v)plwaK^JMe~ltinIVxgxp`%xaU_lUEpo~Fo? z=uDvM)aoC2!RVNw>U?jkTO~NKX3M++{NE5k^|A?Qv@I3ko2sHz2TdqiZ8ux<2}X%t z7zQ+&3`5pC8;O7A9AiOlS>}6$NpJYl8(4w6LyUK>RgPYu>>K1zPH7v;a2m0SuE3 zjCI5pbSVuM!Ltj8a>hFtFya+yC627lVES=t5`fi%cK;_f?+)U+yS5KwY7&j25$2mkev910!`v=_#=;$#^wDqmsL> z<@`rRv+R-ji#Uqtd0+VqgyxIK3+6MV*-nFOZQw8pS6Z*!@4#sKRs2H4CqUgN?kj67 zg<$RGDqUNKZajn(cl%D@;H~2K5ZVb~4|;+m6uqb52i!DL3$Z&laX>*~&E_xB zfV7uk(JWrl?T0GK<-@k%g7ht8*(_{b(@!Ihs1aJiKIOz)>p*>^zYEv@07*wB+5KFu ztfI7{Z`^A&mKY5#x2r^Wx6Ig@;#?F8VDpun4c;*uF*uQtxGloZ(Vd{Q>0W&0fqSKwX8vBO>^_BKiZ$Qf0O`he8( z6sca^7z8->ZggtR9E7wvFO{X#g)o zN)}L2qbGjT5*)e+dfQm?%8Aw#Sk3YcX0lq zs#~JkbOHTDS;#WOi5$~ymfV8xwyTi%2e68ZtHcL z;$jSK2P?$jb@zLPXWAG7^$I-vRI@s*M7NQEYOf~dSHGYOWHdJ4afP|0m9re1qcYQ$ z=JP#c0R^>%jZ=193B@d9|?LUjxJj?GY{QmpX^ zLq$!s1w(kq<+(&zP2smk(W8Kk(^RCbm04=iQjv>%LC6Ib`ikIu#TCO&hlm{In*o*$ z!sWb~$3{^qCT_>GgXcaVdIl<^rPjMjxTE1HH&=$r|xo2p# zF1<_ba2Z9-nf@QtEngVWW(kMBW)5xiJg?F%UV}7X@j~ptt0|(>bK7zI6hHtP`dH4Lsd8z@R#M ziB`9Mp>8$w3JYyb>pD%;R#KxzlmM$;t(U{g=$JlW#q1Hh^X8f=?aH$>C0<6Brs~Q80UNxMpiNd z5{kpaaWj7_A_cn>U08tZAh=Ng-E(?{M4l@URT(?6tQZ>kEv0w!tJD_)vA{54ySm3& zjZSSYVsYh-DIo(jWx#NMk|P##xNhzs)o32^FYswE8-Nfn-t!EN z9nv2WKmv#)6%!(Cdc>eOUOYdjQso-Fo!|os(n}F+L3xk7d=l(~=+*-sE;8e)2kU?4 zBYJ=pXRPkHPV5#X)a4lH-~M%mSq`({>G3;T{heZV#*bjRlyiG3?9lmvZoP zC@I}k^K$^qt8n4QNzP-x;n>9l(NVH%h`8K2L^BJt}M7rfLu_^8kg+Xg5OF!~!Z0ao%1rQJp&5M%?ugym!E7N0IKC?efr%tB}# zz#1?#Z+F;QaR`3CErGJ=YsJ?(ZzF7c1nID(>Y9j~080zj^wT_KfMM}EN>!sH{{XNE zQKs_2Z#9{#uPQEx0IU-=xNbjT19jcq7TKhM zXv7yk6K)NcU;?Q%sJXIS7Saxj!*FU6=NA1$P=|#6;uL}MfeT(K0p0Kkr2fCusYP`D zyxuP(^#;$3--dG6_;WUA+xaEUkALn<6qiVH0PtV9rNopZ>A8C%QgGqVj^^PUSk^NE z?RM7y5H;$&oD{9qm*b=f60|Av(Xs9^`;q;!jrt$=HlBjOGwE0LFYP=x;sK=b05#)& z;(j@wwjkT|O8gU4oz-(P3IYbPw%r=#jRec=O+mMMfJT+5&a`1YMx=Za1FC-jh$Us7 zR&|68c4h&@sUss;gcyQ2*YHP9qtI?rtR?l9;^GvE%mpZjw+Okb*h+fZa55KL$ZiP* zH6qz}b#8d?EKW;^+9}~qoiPmcQ7aJ!+iN$A%u11EW$X;4>xz0xZx)6srO?v*E9t#` z&36!Y9QQh0yCSgJd>xwLtvj+b&WzE^2vfE$Cf=DS&MBZh6tfgHTFOIF}1hcPT z>fW+KSo?(pzrB70q;}WF|IrX4OX8+nmO<0IFl6?(YRR z)s?#M9GxpEfPy9VDD>MZ2sj9PnC(FpC5xN>$?80-u6c=3 z#X4=i@{2d?LI`ID{{YD;>h}JjMMX%+@rhPsSIb=!r`!hG*0|33%oId9mSA3?IX;K_ zj9|c96ii)(uDEhrKePB<%N9$X9aRyJ5TVAms1)iwWxI=1wyzXDV^ly1vf8|dEWn^R zg<_wSKn$gWf7DqaRMR6StNY zc^3uTs##%p>n{-bdao=>dAqnLXZI|zR@OWv{{V8DbH-c@F{_y7Zdi<8@l_5wM9f9C zEPW!ddckD!M{%|5mY4T(?pz%2Kfr2Omr_e1IN27w2UP^Uy1y}&@VAO=AUbMU4z;+p zvz9eo5f)a)tBr5^jy;W>DmFJv8cysYUY zsfGrD_f|Unu0O_Y+cc>xnNlBqX-!=-v0m# z^(u9eQ$g;*fQKjSOTe!z{lfWvSL$mx{{W1}bQ|mWl>+LJo(rgnq&-@!d@R4or7Plw zUAbb@@ix_jsXQ%)_=}@f^8!X`LbNSh@)6=)Tj?wsE6WZc2@Um3CNUYmjiG71tH^v# z07E4PzGXO7=|B;(FNmV*)UCi4K(2sG(h5pN@u(^` zF)V1uKyx)mh8Hq>yT7l{CFU$3%g*OA@dq$?i&MQ2<{=G?rzuZ$UjG1r#?m^(pkPwf zbh_2|j6#H}4#rLxaUfeEc7=92V=1!J+%p=@K7B;j2`QIL--m3oDb*H2)wmXg%5otz z)`7GNtaLjQ2y~8MV*^XRon>O&28xF@W|-6NDKcb>0;{6YPSs=?#f22;y!5-*9#qH$ zSwS#CPHO(53>XYaNCqzHbBgP!O5^xb;H{>uecP9l`z?y5mX}@XP^=e+ntl;xZ3{x{ z&C8qhN7dA$>QDe6j$v>%0D)C?7%8O+55#1eLez62WSa1t9y zw{bU8h6##!ogh7b;ybgYS<&htK%oeuMK)5OnQ?6JwJuqa+M)`&uOGR3Bak7#P(~1^ zg|$xjA_m~j0q|USV|u@n03rE> zh!H_5U^5l?i%{J8C4eo2wIO+7&i-IHTp+29Z`2SHu@>If4PEOIEg(jfMP~7xd{+Tw zwg8k?WO`#isl7u!(AcOx=Gyv+p$kIzWv3AsTF+71VSo*fU(t-1fq@`WHf~U8h6|d3 zY(as;hVjx_s@rG9zobV#UafD>Xb^i|Ew2h-!emwB!4xVQY}=K`nZs$H5al|u+Ux^I z*!%wgiBQ0-2Q&kGIMlRLcZ2$ZdW$8opdJ)04y9d2>i*O6;Q`!M#5Z|T0)VGMWL#TB5uq~>= z&N0V$XS67^c8P~I5S{2H7Ykta!7~_vSDZBWQ!h6lJ4@se?SVjcMyuhRh!}_ate~;7 zWV48%&ZYNCMd`RJ2=fiWylMima;g}zLG1|^gvh8Lz`=}$u0JqR(y9I~T)RJzs$!Qe zz933Z`bK1gS^QlO{srm5N7@eH<`E>VPd0xL!`d#biw8e&0mg)6IyXcbRf5}8@W-E` zA#Dh7GL7x?@!Bc#DP?K3x3lpn02IO1-`N)nnwP&9{{Ta*9I9Fybl#}v=yif2ax0?z zpANGjLAkUEvzmN*F(P=2GgUU5{{Ru0Fb0Idr@#4`!^u+v4_V*X_Js9}Y;}dH z+f>7;l0D4gRb+LfrgiYJ2V1#%saMvSjyY5f4O_JT0MVWw!2pm5O0|Nch-)kzL8ekJ zu`d$en_v&PC47hGAxw`6{5S#+9G|FtMfgq9d?Ndm&XB(TVN{2o`VY7=tFPf9`iUT2 z**_*DQ$2++9*~x-#!X3NxGIev(+1$H_%KY6(sVI7E__iemPLpsxsK{TfJ`93p;OsR zYvE(1>`-)SCqz?ExFAN9Rld7_t{^QqPRp%EHw=n%@48^=q56lqQe0(K99!3`0NO!t zZw>3YmEl6#pN{qz)uwFTdk0oo6-4%eviD$8lS(b`uX_iVY8Ah}tYYH=nwm3CwYaDu zO|kvLcQT%0;#Ytda^ad6clwT%d{Y=BBHf}p(x-GXG(?G;u30D+H& zCRLfW+D&+C#3fy{8-9P@rS3EUxf~_gM6IR2b0YOI6J6BKH=fqj9 z&KvM}`Hodj-9NMDWEzVrU2EbPbxkE-sVVHtrc5aH2RtK>IS%@66z%h67BkiuULspUnAg`lr5_P0D4u3 z(LOc>m(k2}j~USj0Dc~0qXzoNED3h=6*TJ)NJ@YnI5!UX)Nuo-?3OatfQ!z0DttE&K;oaujAeklEc{gvQuJT!zql>!OZgKncRv_N z0rEikQYR-ye@MSHI*06=(DlD44Ujd=uGI>MZ{dmJYJdQyTXhyca}wzC1valee-eSu zp)bkBe~Rr1)V@Bof0jU-HGO(hy>doy)Nq)`{P^t@vFv!*+1QP-HVbD?W0LIyEq~MQ zEe`5IE{kj+inPrgSAO4^6$CT_U8TSJi3g^b*$s9da)V50mhX=UmQ1ji=sA}jr9yXI z`u)mSL#%RPj@^hsg>bhqZg2G;46LhP`4$LrFvJRrv&^mJF6vXFora>K=ftVHwnb5* zfV~*#-k$}pxp@mV|%nImB&LY5AZnrL?2EoO- zsK`8_GZZo&b`bJ)V)lbTD5%?x9OnMzq9Uoc{ls+>gh2&sQoyERy{)}sFPluF^2F|p ztt_rH4W~cYBGv{6Vt;kl>43rX{{XQ)`HSX(fB+e|uMfcz7wt3;Yx{%_uxtGKL>PCc z*FTy!Q&);5cOLwNu+me~Wd&idTR^~iG3pC_Duoea4V>F~yDX*^Lg0Y0tP-`~#JCM9 zLs;x|`=3B5TwV_cn~BP(Q&we9Me7wkTnhF)F8=^91+GKh@9*}^8sVF*^$x5C)8rm6=;5EVkUPjYLy<_fL&M#)p9BHmaP$_bnS7QA#@eG(ztkIfTaW*&2IK3={=}o zwTo0J`DGL;uj&bO=nb<9V}>RlvRxZjMxxp;stq+nD#_k>i&tGsqFQ4jbWiw_!-s;w zGm{vDpr%2;g#5%12n3GCt`T_riyt75scWh(`aC6xE1y4K6rXZ{ghrO{l$C`xMsQ5U z^3Cp`VB0Z&X|Y%%O-7V-3`1vDu!3{S5D=XbU%4{?9QtdkGN}4-0Bf+3D*fuU#b^%A=&1$}60Ul-_7uEp9}4lyQoWCzLM4-FGvd-(-gC|{{V4bKq)Sz zIf812&`kTALD4IZ0u}g~8L=U-i}p6><&=!z3y(C_e8(XgHWf;SU4borJt#tZfLQ~} zo4z8_!Jvmb52;rOJ$Kx|EEM*P0LMJhGn?X26O;=-F%^5kFrDL%FeNNK-D&eJ5uH~5 z01-w4-O&I$MqwTA6q66>VGLG)1n=vJ+(tsarZ3xvoEhOHdg9~K`4LZ0J9KEy{!2_{Fr`Y6p!0KB&9u< z=pe`^M30oq@}ejQ>Y{z-BT+4FApZctfx4ar{g514>#xmA($Di%$0{n=giZ88Wfe$usw7vsN)NsJIgPdF|P%RCe zqky+~mhS`Hf2Ep@0DFQKOTnQW)6}u?1VmU-oMq^YQ>K&hjlo=uyy44uh{zK~S+1QW zC%AZ4XgEl!3uk!~JusH;TA6kpU^);b4;FDOOCvS_by<=sJJi&q283fYb_gd=o?x`N z8|DJiiSo*ANv{|Vw2QQ8gAGe7!s-y(3(aI{lwXAj^X|YwhYk@5k-u~n@e%1 zKFw2jJK_nm`=j9u(e(ztbp}y~D82}Qlhp_rhAdHwr0VucEnm1r9J!VH&6t=QxpIXO zvlx6C`IzZ?8&#`&;ft@*QYRqwIzq6^vg2Je!SC$KH&MffwXW4XWj>{nG2K)>Djox!20h$;06blU5${!gMgeIYwfVy_i1ZWTPpFqD2}J;D zEkh)_!P;nRexp}Df&-o4lwy`H;Ur<)VxA4?qfg(H_5ph_HfNfrP9V8IwwA8p4N~)J6 zV7$s6wnCm~G2Vj&H0QKg6L53MGL@Ja)&dJlf)1&$yg(JN+6ZHNefGipWlRHD_+!`!=5FM*}%13VEwu`g>36FocDXgyA^lVU4>a-cy5?=;8&;e`@AjcJ~+7ZZ}&^j=Kwyb8P8 zapc^jD~6T4^p2@I_v@@OvyheWiUg+4W#Pr?>m1U9^@qg55cCEV-faIq3O`Vb27}4hw+f<5L&ilrKW}f7B{OTD0J0Ro+hA zz)t5!h`vx^h-*;*Thn@jdEd!AbeCkeyiyURN@H2w=?15Cco5(4BC9w0VFRNwa}b+t zsOV__0O>TuQE+A>gfy}~W9b>LOt&P08?B5r9M={Nq~up>g<*^OOXbpcmoa~ zj2{VWct)J4HhU!BTz=pYEOuhm{l#}}!L$swg?=Hv%q?XT3e|^tKXVAJ4O`L}PHg^9 z`+^-qfpKI`yIt8Hx{G-V*6f${5;2^I8q87^S~aTHef>(50{DABw0cETR_m-{%$j(D z47T7s*W#hXzy=JN+1D{a8I8t+@%1hzh+synSJWOhrc5S8DqkibABeD*SBcQWn0rwK!fYJOSrrB* zDQ?1{VXI~f_=VVOK-Bz^hz9UcLRE1GN5rt)b`Zcnh~{j&VnEy*hcGxApE_eNwNQZy z33!8;?%jU0eG7+VLjkx!&S~M(%qY64Wyve6$_0*M0Jfc=`id?&dO-=#>u2r-qPtAs zzOX|siK%4?8(nOKj~`ShJTctK%B++nV`;T(4P3_H4+hzctRTWvu?qA-#dBz6fRG0< z?ZQ(vxQIiu5bl{ICFuVE)5mCNz!VJ;fG#%pj=heYu?=+q_z&(7wl=j2e#`opaWhr< zo(rT#!+{OBql-2@;9Y_@3}HJVj}VN~@5lXFYnwyoAJpg)hkCQ_SsDozM%*%Ci}Jvs z1!B}<@%>7qurz*2=F?}@#mgGnV7Aym`i!M_BnbstAOZl((oj{8aXR=2U|*!IPr)!H zre#UcKjH{fIkyl|hc$MfW$|cKJFAZoI=?J|UvVo6%5OOMbp!(JjoSp@+xdgeCD*Kz zn1&cVRV6FT)M zSrw*b3MKS^K#F*XFfax;h0CZESA;Xf>;5VuDs1{aA%LTzTp5K5!$q(0Dfd#A=l&Hj z3jonG$~qf|82o^asE&FWa=>iaD%5LgnO+wj{{S9lTvPIfH3vyxUF+gu=_}$87-QRu z`i!oF=(_&k7sc`;^zA5sgv{aW97}uAOVhe%ezAJIzcatsdEyCMEIxA&f)tDa64;cq z^uArCl=UbdAyEQCL6zXB5vCIO80m8h0&?fg4o(*$ko^X?(MpKnNu*yV&jo;=7^lzf)mTMdt1NLIney@hzhT z$5!o#9+H468+#ER3V2Djd`CACc5~8QVu@agM(o$g{{SW#ipnFn7=tjk17Q6l#g~UZ ziDN3v*G;*+$d%#ZSft`_=`#WdqgM3cB; z2iO@ddq)RjE!7Xr!~pe-@5EqfO5>51vzY;cvVH=f%f4U=OO>wMdLFY?3)f_$y-Vfd zf4G@$OKuk5dOLZVF%`VS4FCbK{{UZ7(3O$*81}6A+6qG0U7zAv{tQLZ0Pz%nRo)Dr zF#C#2lUT;zbf?@}AZi;r$$#$08tw)+gR!ucTfh24}6UO-jUdW6o;`lamSh~At-20w(AU&2HKp|ZnR3^oHTuUiju z2qWW*=vD6l!~rE`hMX4}RXA+;xGa$GKj@4@zD9rSO1CS2nYmm$hF@_Lx}U3Yk+c`H zicdGlr?r1>42a+kYFlwAKct4hXQZJ69O4b!zAqLLvl-|okK#~`=9y!3Arg#ZEgTx zEH>0lyuz};a=cF5VE*S;Opwqx+{A}nM#T!9(f6#0r><*Syz+L;(+TI=FG zn|i|K{{WK=3)7#gn9U*?aSE-kn4YTIj8A6Upt?OkZ3@IhoH0#bU)(b^rQ}^hzwC?W z>Qop@eM`VNUT`$`_=wW)b;tfb>+^bn){1diu3)i4w=*@5a=EGd(3f+BB$ClMxJG3!glR&3Jz{Wv5gTN zjeGMiLG;%CV3DMM<45KP_o~6}M)b}`bb;`XM24{NQC$(uo?#ssVQ_gV1b={CmRzv% zX~3*`*WzI+DqC~x)*%?S<<{msV(zV|fG+dDL{;YDLtUyS%I}Z(OAL!n@=!=Zxe$eKLqxY!O&4toCpP+0}*t5A-p0eAui z$~KhzTxOARL7$Awi#TbbvdU*mke4V+GQV*cagGh(>=KRd_#?ETzzHAJQA;ovuf(8J zZGj`;e=)#QfEsbi_2OLtt#CD1@B>oFl8%<IK5jO>-;$t!#!`Th=>fT*oTy^6NoVKe(XLWy1mU-UA=*(_#y7Rl?nCP_;T_l}AW(&KbRah zF0fmMSAqWku`xkHs~_T7E$-#!APo2meZrJv(v^KWd-#~|7*TcUJHpPW15UW{0`IsD z#I2<%d!iA}Jq|aynZnzj>Nvjuj6y4GG~>jI#6(vsGMJZ0tw{w4D?la3FHL!UP8a9^*mhRz0? z+$8Tw2LO>NW2gcvQ9f-6T zF?PTlb)=WWegW+XRF0KtfB`eE%7fq)8U{mjYZnu}7w^7?h=Oe(`-}8pla)$aW39ULjt$jIUvl6@%{DUHWcB5E^GTBYblJZ zuO8+=w5pZo_3<$cvWM<8t!B11;KH&5Ixs$xm@HW2vsV}kjbV7V^w|U;DOI{WAD0~_ z7Dm@^5WxY!1rgu?xCOHDaYu`AdMNc7>6sltN2+_cR8Ny)uRaq0$<9;&OPn+yK}$+FGgaP%-kQRHzO$9+wNJtN~LkwXrgGWr5V8@d<9t zU33WbBK+F=s63-grtJWsaJFQUTR)y^)%+k98zG2zMLEqpz4?U!tmr|rljkQ*hN|!;m~k z`~)7P=&_ZR-VbaMmVr(jzKp{ahT?!QR}M9!}=?F;u~JXn2tpJN0Wn*vGGi`CJX?A zf>1MLCth2OC8%?%ujxvQR9fj8HjmEVI3SAHBg8)S1}%b|BSB6rrO+Pcp3@c;Qs)r) z#QAS|%w<+Ta~_O3Vp_Z=%v@K*B{H!>IUZmd8Coy?kKeGvKjNVa zGVvPMCE(0P+zZz}qXlh1EEc)WB>`dAFqW;^8J8^C70s0du1M8TE7#^*4|2fnwSobt z8K&CxR}CWoFZTBomfvAMF#zn;Q1-3~T7if`=q|ilxFFqD?9M%N*M%XX{ zBfiUb&iR!Vi+iTKK@McWcg2n$y+L`5PKE1V=47STmdEB(0>Ey#{{UtoRTPJU#{LHo z?Sih4cf6qvUzFeBe88q~M^uc42>pJoW1WD?UgsCI@LID_wAe5KtJHQLwpG?^X|XE3{nh zE3ft!7S|v|7w+Mv1GZ;_!pq$3#YLP;ZA$o3 z`cc+932&GW!Ul(fq%NLvy{a14z;Ss!d5nRs2UsKkqf)4}E7)DecMZ>1qyT6uyO9TI z7AR@>X0lK%dwOgoVWow5KF?4Y02BmQPlI%*0H6N}4of z@i9QbiPml+)JDRj$y}7XRsgV;_NnQN-*=>JY!0E1dWCkwcCNqNEm5MVS*`nu0~?)< zv9~-$p{gmzL%(0B@VE-uW!J+7w5@v+TEo^F!wg_DbJF)Kqy;ie_G_LTOd7E>yt-Q6 zC21Xp$6qk3par)>)Ydsz8q?`P_XV6SVD9Ldk3tVCUqS~AUMYB71bBt@8$h_Oo1lnC zaMzzaFyIaqn*a{g+jki0wQ`+DNWvU)b}!ic!HSVPfVHl7xK;`)I)tp7z_-@4{--K zXk;E?78M_2SI~o%H4liOBC&3|zf5KTx%~d(V6}j5HRbX_u46`Gx0pOdT7Ze4Fd=iX zMAfv;+B!ioR77aaoq2n7*r>V}1Lx%Ek&*2*KC_|E)Tmn=`Akd&M{1QA3@wy)cyFvA zpeh_BFuS__M@e!!zypKs2+8#gHNllvjz|RENJT)#@Ava=XqCJo<*awX-a$ zkAH|wpc6Wr-b~~58Z2y$(Hu;m19eUgIK(4)Jyhd$eMkUbDyW#^nX2K#M~X4cZHs4i zG!rhkba*{L=mxqf9sIkDhg|`;OXY|H(%K!>)-9)x{^8CtzoR&RaqX4=CVPTG&{L1MJ zk1zC>>kGIr<}gcQ)}0}??FG>47#zRAL8YRk-ZY6o%f7{aV|Gu<{{W~5$T8V0vg5fa zv8zOSZ2Bb?Gas_I=!S^s#5}Iv5)K5;@>?DUocNU}x^2A|()vPB6aWVk8L~|~^6GLt zHgf~rsK6J1a&r>i#qc0Ilz4-UT@LUR<+A?aQGofGE+B?nNV%36M+V#?D+=8{Vo_YV zV6|?qr${e;h=H~U?GnuIzCTfmgmjX})QIs0Qwz9)FfB^JUr1GWnC`QFkB9~B@IX}% zxog$HKvbiV$31?cVT>_`%SQdjW{Gd6a(+EbtOO+i@evm5JsEi{;rN$y^k6K>>w*Hn znY46otr2$zXd<-rYjwl|&4xhj!8qvVS%V#B5sy5vEPxgz6*M?SssmvG#m^THL);q}bl#k?=SMb8>Jq4AD%=Puxd%IZ93v0fi%vd9CAc-ug zbJQ9*?=wJHQ&JeOjv#PnQ*L0SP8)~89a-T)g>ki%Mb*(5OTl#JxMBp&@D|ww0EI*gz#v^6vCFBI@3ggx5BNWS5v2@wfxEQ6W&~(|e4+bG zESepY7Z<4701p#|zAtZRcpT+lIeZeeBDh~G`%Sb$!QPC^&)r2{29InkbEF>BqvPDB zxXFl>0|x6Yf%<8N1g;I+mE3IgH<_y7X+L;TUgcw(XrCKys z&Q@E|F$BA21C;FORA4)d038j#+_ehbh5_Zv zh#PV!u3r58K*0DlXUwXCYfW^CqydmBy8FL!jksNmdFR})2KD6c@Iisnicc!>5{rX$ zDRq`h=zPY7_QeR-i9?{P99^bbhZk80^sYb)`2!vF$6BIM3XV7KuF|9i$6Xc3RX~~n^JLiGI zFec#SQ0npSF0t7v>OAHB!~LA692AuHNuNuuFq52&+oqtFsMdpllk!XI*0Dy6oaFcF)vFUR9Oy%MPx9uR6gB ztOdE<9sMJ!BMo^we6b6OUYZfHV{F*Dx&n!YP?th5j&KfyBG7E@2G^WP z3liN$NUO93)*Yr$6^%?&I{Rgey32iF=?RqsfVw(AqZp$}NRd@o9K}$_rvD>;f_ zwS%-~3xO}AeqY2I%`5=LTi2u%RH_9IOt|k95Q~fxrnlGXT2xit@8-Sk3YBbB%J1_3 z0IFJ;MYbIY_2OMXj!fOwcYhMfQEP{;V1x(?)R?|vK@nq%H%@iK@fvd@J!S_m$l)q_ z5E$)ktaRgvXdReJuNCY#_bk9E3e(t*`4Q_dSz7Az8ZN2Jjv*^7Sk@!9Ixn8kaA^J@ z)Dw6Gvij;Y(JGsuM=do2r$<$oPFn)15Bm_^RJ4QkBM?B?HHE9!iE`0T5&Hw7+{y_P zXLHhFhV%(q+q<=kP&h;NBv8B-B?2KWsjU>^*IQ?c2owrR8-Ptz|9?9ZSXt!ik?)(8Zwq2NN@f#s#@ePF>jqBMo-1y81&qFP*Ge1~sq-uP&;#98kd`Al^ z{Se3pOa%=9x}zACmN;DBiKhmlfLlwV@JlS0R$4y2vzsFU_;kDYg4X7=;=wPYo?a3% z{eZn(o76}oOQicX?mL@IErjoWRx3WhG(0QJ!7d3_Ciq9QXE1cEg9es^IX~he7hr%5 zoYMi6R`>=FmUlu24NLlDC+#etqUHmPU;A-Epj6&tkodk;EbA^Tt54ECqe%b&=W90r zwI;94c!0~+^;jP)2RsyX4r4u)Tw&G$dUbk#$fX+^U4OcfRdOaA~jP^JD_qet8kV;20VTpQ8SrRlLlMeyIdjbjZ6#<=YW8CzFsAKaw$Q`wWw z_kRu}(1niT?ecy-hGg2lo{7}n`j}oYv+gD zG6@{BD=bhfl zy5;A6rZTsH(k}k5<}_oTW#w$3cUVmI%_jpCpd>WB{-w>eh2}X%-D!;L;#jn}c1Xq= zfGUUfzfhDO8G^;(V~NXC$1%vQEbe#Fj(F5PvD3M!NUtL4E!e7jBD(xQ;HN>ir<1CD zL4e55)mQOx;{(YVbb%O1we}B8P+4$FnBFlHlxt@{+!!ilyPZ+U_xw%9vZ{`j)AaM! zF!S#@oL%AAUvbt$s?#Zf8uk0}3LPNn&ldpR zU8xTL0Qri8m9IVY__=vT7L@MpDFd^c_oMC-m_PJgdZ~qXHhhT^t4a^THNdDJ6$45Y zyZPo(V5^L`Zvp8%N@@FYc6*K=5zRuzyT6V3=E|+~K2Yh=F%+`*5@$4s8@S&CoV_P3 z6s?KkkSS9$9L*AIbN^;LZe^HU{U>#AGfA_@k@F@NR)KxrBN#J_IjZ3DMB;u}fWY{1o_`pv+OfR&KZ z*?2tD)(S`rdqAE;7tfe*D$cJ#RLOy!OvW4Y8*_IrY+EgoBKQR&&IBce%2`Hr|Yz;r6FkSjAwr6k6 z60qD!cW2S&o>R69Caf;d`XAg%-T58*oNrMe6CyS}kuqxvEp=`l;kv>$js4Q zz~T0F?>dg_)ByzZ(_5<9^)kXKN~Z@8rupHO4#>9X0Tyzj1EJOrW)0d_?Av4;F7nhP zl=Tu_)}@woV(cTt8z??Vn+!wfPRN5eN8Axp0w4;=Z0{NYT^)baIJNnMbhx|huj1P41c;!lpPH>e<#F1^-HU>TIs-dfwtvj`HL6kTP-7Ve32GS5@zL#L{V%9HOvKa4ILl|#Wx)R=pQIW01h~ZYA-u?3o8Qi#b#G*h}1$MbpUcvG)tajAscTXf;Z8hEM@qQ(r3-cB5ZcckaLlYO< z#K4zlH37x=nM5lB%Ji1ZyB1#MZW%>>Ae#ss$fU_EVpomFp-&N72KFSWh?=N)cavcW z6sMo>se41M3E`N6_J_s)0OYnE!L#p~UQD=eF>+KUTk9Ocg;X>=;vvu#rFoZxA=kkJH4>>mYV*ejt$PD&AQj>q7`hhriI^{`<5!nQ;r z(Ub&oqL#LL>+Vp{00M*5+1qfs;IiTsvgz2A0o)SuwoDGydmCSY`6CvAgVDH!v4ktu z$?jkMgJm;TlQ{YrXfHPWu42X>K0S%eA6>#VO)BS^c>e&it1rM#<-t!d2AGP0wvoN% zSEy(jfI;*?&{Lg%m`^DKWOr;7_rVJk)NH$K^wco}o$1wiey1B5f{^2!OJdl#2JYb2 z!e)tfdvZY&X92*3m73Jb#n!`8)m1m6Vp08@S9ipSXjF-J;; z3mRaQcsCYi`m}y!YlWew+!QdH5&UuW4W!!Z1L~Lxy%DkdKX5(*bS`2Acq%N~=38TA zC@cDv3RO`~`5>xMT=#+tm1qsDwSw#wG=3n2@|irudZSC|W&3`n&Vf>jd6sDw@eD<& zLhC&t%V4C-Sr5|ohss3afyaBK*c9B!&I{4~V%+J%*pj z1-v>+E5)9l_<*m(K>q+KC?7Df^~dD)OOq2ji}&65n0pZKt=Y~vvw{H02w0CXH5e2E z^5bwkMk#wo_of2(>t8aNB*TgN4{QJrP~BTKpzDs44OQ5qzkELCDBNk~oJx)b&aySj zp3&g9pZdhk-dzNtw(@QU`V3bt#d{^@fo0dT837C<#4Cw)=3rgpnE5N1h^>h8H~x_V1A+$dBEJdhWH{v}puuP~`@GAR6$2wUSL^DI)2ck)7l4C4KB4<1%O zF$$P$FXwT>$u1l|cO9vAB0iaX+w!mA{;Cn63$Uy8EL;qbZK=icH2bvin*76XR@xu7 z1h!LXJijI&=R7tCLhI&MO(x239hpTcCpC^8^k8Rz3|J1=)5j1nN(0H0rxCGowZG~# z>kkxtQ_$P)yg)BcEj%_o6#_uD-~jx>FIL=5RzbwaK+qGc7AUFeId;vUU%xTJ3O36C zuhsnOQ6ML1;QImdE)HT=I-#BN_WuCnz;5Nh?+%H&-1oR+g*LJ1zxfMr#OU)fzDL3$ zf6FBpt7TxRdGNmvGWG1BqVcQ$01S7sPqhmg=N*%X6kK(HStnILP_6ScTlT-GdGb%g zUr^xy&|o25Xb#Ct*ugI9&)>ocsau}0;D#AiV-O1@qm|S!ay+9RrJ-BZJ|T)c>zJep zyFgX!iZ$mwPxsWMx`C z>8VTRbf4M#g^X739(5W}BFS|?OndVl!qghYzi>y1lw2QezThq7t0jzh({sI8_xL6@ zV!35iVYD(g8jMzZm$D~)q*-SZMuAs=S(`OgsMfaAU`l=}Za8C9;7-wYLs0E0{mL85 zD|~m1E{sFvERLi>v6YSuiLzs%W8Co;QOl(6nh01KJ3UC&FV0T`(9zh1>6AZWV+>UM z^n8#;2}0f`(Q-2bhlz?P*X@49ziD)YRIOSHZWxEC(Fo=pYJ-X3k1th!$5`shE)r3WugJh%&r~gmafqXpc^qb!(tyPLh*Wt ziEi^p*|yKP!G`Tj5T%YOj@e`zXujwmii@V`V$ zm2+Px1~Uj=44)r@;tFw_E8dxx!CISn#ZA5NG#@$pffv|lzpIKAg*|g)X zrDxYt4MVDEYwj3~I1IPessiA-qYYBu5W9hzfR;A^+a)k+2}%`gQ6H*`pe>Z2J5N*o z^V9f=HY*NCqy}#pV-am-rozccz*A+-P=^BnuoeS@C;P;uYBsxEs99Vg;HG%)N|y4& zJt^O)xZY*>&4$jTOX66b1M3O@03qt}QP1oi7}&X;@5E;0Vqa2odj92JIVJWUXIXla zc+?~kNFOpwXTq=*Wzqzp79Wa58T8KjJjUxZB;l+DNwAZ z!a&ZYg!qc4B}}hT;~jSzU$~~n#l$NfKz`;_;D|MAox;8+5Ayy;Q5BtQe<P%s0o(`c*)JZuvi{DD&47P#(^IYn{{X%wuCxEyxsE*p literal 0 HcmV?d00001 diff --git a/img/vga-detail.jpeg b/img/vga-detail.jpeg new file mode 100644 index 0000000000000000000000000000000000000000..122b7c30c33b820e44094995736b53642fb6bd16 GIT binary patch literal 11983 zcmb7q1yEeg^6$YN7FgWfWwAi8;O-D0_%4eDm*5bB1b2r(fS@74f)jLsz#>5t0t6?7 z5G1(2&3A9z`(D-izpB?;XU}hEy64RF^z`)fp8K!&s{lw-RYMg(Lqh}9Q6J#`4j@L3b#{aX(G7vB4e9sj}TCvBWO4{IQY2u#2Ku7fx1M_d?-wFWZ?<)H@p(-&@gTcA~1`uMPetgmiUUoiF95%9!^`k1oo}hNIVM3&){O)ixsuN@dEvU zx8vu5GB}@4!e4z+Rc$gc%Bsk&=7}H0l`!PrVRnjPw#AOB-FdqwPqlM^P8<7*(Wi4{ z^)8|8CMZagD9_1WgCh@v-(}QpTnVh|YeG2X_=v(NmshVL#HRf9yc(Nf;EGa4e<+FC z#Vg+(+H%Fb^te(;8BYv|aQC%cyi-ME8U+M%>P$ZVWGP=HyL9}=M`Asq6DwkW&W<*~_iX}ndX@z+cPSHYO#lx|!_2Y&)Q%65F3yXy&c42+{C zUVHz*OQM-d7bDHCQC216uHZ(iX4?xV*xf@u!3M4Rx67zaodgC4jpNQK=n!sljKX_l z9ce}KT%uTOYItj~wZz0!LNnH6tuSb#$X0{sj^tIQw!CHYIU}lL)z#nVO{$6)k53pY z;?p`|SF<<)d9iQz!ts9n;*5v_ub!W;-@PmwS^PR@U_b;OG}d1!YPzf-e<%`3p=4f7 zgKzr=*QMr~s`u&&JJ;J;GA!~h`={@n5AjOVEASQLk#_R3)IP7U;Mg=QWHpI%5JDD{ z(3I8C9M=4oY?gAz8(|4$LtCBN69(y6uS-$vlRwIMUUH_3QpPIR8z_-p{*s(~DWzQ>MPxvjiRzz{e$weZF!}MF5)tz- zQ^zG!$?-+V)$d;-nJOG$6U={9)0jK&If%z12GYTNV?0Gf^`#qQ=`(Y`wzu?o!I@0r zvFFF4;d3h4V9O9WkKzyDK|jf>FvM`8|LE3_Q%fr*hOhf~GO2kL4W+hN>ha2rQyN5} zJ}3+I!%m$w89tzwH$vR)yVo6^OMeW`i25;ext~{)^ zz4WnXAR};ImAfRCHny6#rXs%XU0CpbZ6p!P$N{~c*1)hw%FJB(Vu5N z?|daM0t>skktx+vYinEH#}{|*dT&rqz~LL+-UB-!9VpnuS(M8)@F+~@&mPAg9r z7AMvL!*KWkz{H5V>_o^FSqxp_{)ci~+{*cxgm{@aJ#qzSMSoQ^5mH?u?`sEVR~v-= zS~{#oPsS}^B`$RH*ZHFB4h;RC428|pa(`Nh$5l4BsWRFgy9lvgkF-gLJx>PsCR6)^$e!uq&iXI|xZMEt-h*p~F*Su{=VotF zMTMc)5NHrL8u^i=36x!nyb~-b6ju%2%th&SGX{95! z?ed0f%lY6q#482pCiKcf-&1`$ z?SC1tB|eqq_{3x&PzKMi8L7NT&=xKfA!Q(l*FxUO==ff{<`w5NL0S;q!i^i=1CWeg{4sjXMo)QHlF;RrbB- zeR}B=!LMo_clUsC@Ov}Ot@6KCK>F7TP-cJ%4E{xbqXklk0vWULBM>=@h(0E(q5+$s zUBo|DfC?+*(Z-LCn3zb9R68(WvQOEJL(sZ6-3OGIpJP^W2V{*Q@xzjoeDM&$@P$^{vev*V$n#Cnzus zhiR7v#}uq)saMLiw7?}Mss8?H{+9fqR7{e+kWaz-RE5~PAXAAsifq31 zBHytut-;e*0iVmFDwP$%USZy&anQez`3Y+^!w+w`VGcjo-$2btP+6M=7o%?+Q7`=) zO^`5(rtff|re69?$H__8$urHeHC{?QH)vV;6s;1MoG*{+GJnBDSN$BW@0fQ z7cbJ7U!?JClD*kRg~5V(y2yF2ScN9UK#pS8AS-Q@p+ZA63=Gst z{!h?{Mv4xCU@{A1u!uY&VaHGb>DeD6eSoMFa{#|4}kKwBuvp=lTpXLV;liAh=G5h-^7@i^CX{F9Xfr{`e8FGr;$axB#YVc+k#CaJ z^r7Nfgts93{#>JT+O&;Y-FEaKSVn}G`lvRXQ*8d;~(Ou zGfyOys1HYJiUXx7bab@;W3_-tSs)6`26kR04Z>-MegE=xs2xw9MZa7Wj(}TlRIMsj zTdPodT0@71hLHSiF!-ixL*uuEPbHdixj{qmpb~t_K?#u^kBF{pYt^Axvms0KSJ`F= z7R?7iaaE4(P;t19{a&18It7i7;^YFnxZTT*JZD-6?E(mV^F zWH_nMVBKk(u_T#@CcY?0@|U6%m|H6>0+=%6ec4!M&=>%9rCMmgd<#5cy5Z-v*-{Ax8JulyuxyML~&v&*93QwB9#= zvPNdXjDXWBJ51BJR5R>r-0#REV{buR)2%r|Ewa?~x`0m7=75yXrxgpHzl2JYZL&yU zhMizz{dmcpDyzpV-qJRUj@OCuwJ?Qu7)jZWcig}0sCH#y_Dg976dF2V&+k`FB8#iGQ#qmz6 zpTu9oJE>nvxQe7)7$_m+dtcUl&f4;5gA6iEn2&_Y3@WMMR|io@Lq+1FOm<3BRP|V+ zd2!?$j^(GE)gDlk87z5KSCt;9mqx2Qnr2Tw|CKh9!WH>BsFTD8L+0B}RkNcwVq9kyGg! zJT5zApgzPW;@3#ur!ztP5tV1O+=`OiC0SQwvIJ@wd<`o0?+=|yN=q7`@c!b4GN!53 zjzhuE%Or3Irz=W2DJecyv(gxT%zEC^cv?PCE!d`Q9%Wbm^0k$olbJOz1QeMfvL&}L~o8M3sIoh2N?uVbsOg@xazU)s)NNvo*T zcxdhggVIew{dU4juSnFKJT3TGX{ER=z<5x~=-MRPT7_DQ7creg`t!P~@$x7y`cF3H zpXeE6-P1iK3oYg2?Y1h~k=yr?3V35#+|-j%G!WdL3jXb_Qsk6nbIShWxx=w|n;v%U z!?)hwnA5)4JZ?IvdX0JURw>1;3n!TGY_a?ra-@BJ;Y%&EcC>g#!3-nlEtUL|VunFV zNRcU$Dp#Fb8P_+XX8qEAw7k}4rjB{K)g>_0ptDz+QLm86qo_iV4m8W_^7x|PE9C2Q zJo|-VJ4Dj7k?5PsB9TMgaes)ez=^=Ef}$^n6m4sTj0y|9&zgk6Hg_qhoO7}HkT8{x zHMQsSjJpBir%`H<7@>UDVxeo0XT|dqZ&p2FuGd)&cI&%rJRDG=y+4@mo}Hb$o(6w+ z@mv}uJt}%#TCL}4;5B-^+$XBp!ew{47=;H2iNA<_;P9M1p&f+IV?{7sJU10z905cE$`ANZwdq~G|@;QdYpPn8q)N$Rc+hJ!kiq<^;t(!Y5#Q~->I z3Wxs{52KNSSRl*_23`>*4R&c$he!VjgFykOH9oz|@K~jAy6hZhO@oH52|`!h^_`We zC!>&(U!btmJe7JStIMT;NrIWFUv3QKGDDDGOS4qbrGoWeQM;(QCgfuiC1qyWN$xDo z)r~U--fzYrt;}*B)lre8b=c6$Y7)UbaYrZPj;1js?ytF{mL39ROoQFAaPA@TLrZc0 z=opTH1JE5&W|`8kfwF{UWh8W8jOhjSkZknz!d5aN@72|qlsXu`LU|xtF(F-8hVFE*m{+! z7v3QBN67+tcpU`}h#56hTq*`Dre>@Tt=V=lrR+@#&4oix+{lxNWyd58x^d@7d17y_ zq19^@QkM_|H!#-}E3(Xj!u4h* z{L_ar=;LxEk7`s3;@0WxO-({~I7V3Gn|olI@_^mD{UmzP_~a(6pD6pcEFEZ65L!MI zBz~A{(f|pgGEG3R>+nkl7uQF{hN{s7UQovnd-tSVD6S`YW#N|+hKYfnzu+on1U<9{ySiEqPsvz;0;VAGWSQI^r8Fd%e_${e zoSp_-j0;<~5);CrJfsMU>bs;nNaP{9^TVC#5mHQGpTH9%YcShpw)!(4X59aSLLF{47!f>Zh*ljQM=Vj+a>$~%Z?I_j7s zO#`B$^-orBF7Rt)pot#^0*dvNA^cD!%GSQbQW>88P-viP!&5;Ug=k;t8_s62eJBaP>8SUG;t@$;gtD zV7Bg*O`_ZdC+R;q_cwR&WH zwWrkj5$V>sI?{k4)2rrQX072K@ZgiirZi!}8Tzg^%tE!ot@l%tU`a^vc6fp7yv8Mt zeN(SJ>CZfSZ;yIc%rrY&bGx%kzBN`l=3&c@&0b8K5P_8H7kcZiIfF0y#~aXa^6ZMj zRN;lOGE^)hm8tiD=zE2CdHwTj2nIJ~bj~83gt|};^BzdG6JGE$kuy{y#}q4Dft`_Y z<=b*W!oHb}ly;l<#ar z!(PBCOrc_#>36jE!|l-A{CHF#^uu1R@Q@kF8Ujz%DS+p>^s(D#-0In}?mZjqHuFr` z%GS!hKzPeYVfQNSD>&w*w%cJ|=-DnZU&?G1VA7DMt5edg?xhFVwFH5hm{Uagn+BTT zX(K(%$qR{vNB#(#bZ@4yw&jA|73#yi;WrAjFI-nz%pJ^eFFA^Y6*wC2G#2`oIOJr$ zmGrN;riM$n<~=xRx@;0i$Bj*pu#8Pd3KGa5`6qFDbCH$B?s#&F+wNN3T!ZN^Ll)0) zSy@g(7P-cb&Y25UQZHy0RZCVG>=Q$Rks=GsO*c3)xGAi6h8q6;BVXaU5?Xl87uuJ9 zzDChA(%b`qmbn`D!1oKMl>K4XV+X&Bd*D{R_Lgu_(_uFX1Wiy@J16?=FKkrg5U;cDqTD}KjMTpXMVz}{;f~eL|KRsc6sFW!}G6nA- zf#=vY1S!&w6uD+(a-9!Ds4uAZ;m~JXu6a~)6>^f4+R1FxBH!jM)t_d$GC#*$;b{L{nYG%KJ-K8#pWb0-} zO}=6w883mF!V3_ zcYg4%hx_Z`ydu&{8v3Tz4v#?pPA8zrgBT_poxkX>&G&~&XGz%%?CZ0IzzUeE6Vh}7GpyeWHi)=KG|M@tr`yHX5y(AF{e z5z5+iI|FO@J+P-=H_OtpZ}uQbbx&8)A>@d`dD0Kezx0@TP5T(!ZaEa=*Y?hfai6-j z?nc0YXt4E(cAICdQy9#Eezw_(3MYVStUErL2udm1=SShfEBV%k@lf^UCPW_b_@rtZAbW$@bM`Rt%Gt`e$3h9l92l5@hH)lTO}6#CcccZ&iM+w z)>CdGxpjh;+fi|^6?p?wID(sl!tw;M{GP?3EyC1ozKp*X@%>?f;;tYhtW>BVenXAu z45=K9a4%@IbLW_$^r4DtH{*2?{Jd^Sm9||@CO)tZhc=1GF=wU4#@G^m*`|2rwIck! z@RV^`WOVABXYg(8k&mFym`6<@RG}u{-9l)c&VgK9 zhFL;>TkH5ot=heFfoxifBT6Dsd&z>3A7jSD0}p3hm7<2@))+!23G%c}@5pkVD7Wl+ zRW0F2Wqv5%b~q(}y+&G3lWdrfY+VAk8nxe8xf581(I$PlOrYr@6+GUxjSEcpJ!|>h zW!vLiVDpr&gYb=z#GUP8Yix(mPEx+VrRweugE{}|(ua9YOU`qF*CPVDmQaJM1j(1= ztWCW!x1*+cy|WZbtkOR~RoL$X?t#v@cx%Bm7~^{?zb}SEoyiM!rBW*Ef!Oe6_%Nx3 zt)5RdEMDM<3@#|KnnO{$%>&EXmuM|ieV-J@SrHJ%yjJ=PfAHO}st-i4U6ysOr{LN- z#5hxI1%BPlnh?liiRvDpG57RZ!N;lo#X5_rdJ_=C{mX#&=kUIvZOswo&bAdyQgAh^ zaD%+C@lH^pSD2SQl;@%rr~jM~r(G=>O= ziF%tGFEbh3pDt{JIrqKtad);wKFBB)=CV2;G4@9FJ?D}y)H5|GHxj>m&aPM#7oodL zvzJ=C!9~i4p`s1U=ubaMPbl-gNfpwiF@}Dx+9v|JE^GB+TUhEo0QrLRQs;8b3Hp9-(#5h*}>Mn>KFfryL$*( zVZ~OnSzF65h5?r>BbHpl1*xo_CCa%oRHRFmb%mGzQ4MX0Z0lBuq)lv)kL;&fC+pM4 zFml&y-XqahCi`wVM_3RqEVYBUH{t?!EV^c=($9KH>%2rd$`EX>3sQODqwFWYk~bo; z*>t_ES?A}D2rz97Jj6r$4-hV|b6opR_2*#;c%|%rGGP(}3xX?<=>@;di1ER~zEYXt z{04&$PotX2Lta}e51LZ&PM`BkOpWi=LPqC5`SP@xw7Xz|3@f@uOHYXwuM0wS=a-bY zcHyR0V_9)_7ZJxtyiH~inF}`6gMUtCVdL6)*j(5?1kX0olG?f$Sz_Lj2HuXAjXm1t zv;9p``;fIZ!){p{>7|e>#kf$?4s-1q#@d)ARxQ6;H(adx2GbdMbPqIiKc&DX@WHCn zm-ba|JVPMOg5o2W@WGm1!c>TkMw>CINyM5?5Q`3xpAgkmPU$@`R@zgolpLxF=^vnd zK=EVO;Z%|%OQ?#+@VoqBrUG+;_uL(gJ-Pgpj})xcN;xRVakZD_N#lLX zR-aG%Q~vodJh?70i=B+en3*ly@jOyogP|$SjK`vp!<84>!0yojlJ3VMIa??=kOdFH|+eY6f#!+3$p1I$_o z6P*=uDzo>}hSFv;>jn^F+GlySNq}GaV+j67fc6~C#9#ywF_De(3!hx*~xu*Sk|$@+ty+%$C%%<-J!&j#W#oU4eLd(>q2 zM%nm9LKn_1>q&M;mZAz}kNua_htKJ!`+^fT&#%Lcgl`(voUE|B@3^oYNMcV4KfzuY zL(Z!7nLU@h8M-ZpAvF1+4!>sKsugKym8|PO@0;{Aa&C>GYQ&XFiTfCA=+KT?C2_v? zoNQ5~Z}KwR`N_V8?r6B-`74Fb^Of1d*M${Q1NeNN-8Ol?rXcVAgHr($k65@Lq|(Ls z+3}w~E0*KC%J!f4fWFn6N{+d3{{46L{8k5~qEGTTKPr8FG`iuOES+*w*r!chZMsj$ zIil!D*!4;aBAB|Y(Bk7y%$K{nkZ4@)FqWTV`GplbvM}6;z~!Cg-n>DO*ea{xNPc5;eQt5-9LP(KDk}7?RK9G)~(fvx3bhgBVz)l#1<(Ox`Nl5R}*GvLMAsVw$1T~ z%bt1Qo!Gt%DodRaAM|4#W^DMhDyh-*Hg>zMXIrww1oCcC;s@j_>a8O*zGuS~>wYaX z?^~~<-g)hcH{*nRy)X%E16z!>#BODC&pPje8(%jMi$2i!*kPAmsQQgF8;V{*w{Ly~ zOyOE3xjX|ba{912Sx-^E*5_$*_qh*b~ug8 z0zws@mp^7IvbgS_WvM4=@3@QC?|?spWf$W|0QREAvKTc5L9hreJ(zX@zu)^}VkVBe z;*C#_ay=@q#*(J*fssIxF9-`~gK&iRPQH!Dwk_seV1(-mKM`#VUDzE50YW1BX>6{l z)0df{)sIk-f{VyR0=kE}Ir&FplU($(n){{t6C`plgTP%!O9a1(KOa~7$9CzMSEJkx zeS~S}_{E3^^vE7OJRPV+;|wJl!NFEG`gY5$%HVvLLhxeG80ue{$6LcU&)*q#guSkK zE8G(KcHkJpcQU07{g=Lt6Jd=>$K{Qtw}g*=b4#&EV~5_Dutyk&Mc9_PPn83dThq0( zXtF&dc4}Dkbq{Gt$RL%Ho)1I*GNS*(EA50ih2=nm)ZI4yfm*Dq*R~8H^gt5PaPTmS z@g5kxs~~Q+3eMB70UyuOTGXxHt!amvj?vI{_>MLu{`y{)re(A4#&ZXKQvBdDSL{>Q zEuy(Bd>aEc7J9M^HcUJ}!t0f)Qt%K#z6(0%ry;Mf-C%h17-tt9hs417NT8oObFi7b zY4&~0!+hHw(r)@A%8p^5g+|^)UPBK1Y&1xt1Ny7WZ1m;J2*b8ymb?jb3(KEZ@NPKY zF}^A8`L?wU!v&j}knAmjz)IqFTZ}H~rIBAxoKi?ZLSr4y5-Hs3yWG|rVy|<2i0r!M z_N1_^Y5plT-@`ky_Xn6F%ujDdKQ`<(wMRcCK58R25v;6T%(bqLUFxju&-0h)GSU3v zz>+q!jUOZLeUx}g9zdfKhmV)8I8Fre0bsc!0jQjdrVjSYvqyr-+K{!F;X+f3Y}07d zbwL&3VuXWG&ptr-07H*rquSA{HtcnC2UW%_!IM_`u`;&XrST_E!cZ?<3E3FbT>dyh zvzE-Pedm