From 8624fa1601197c9e2b25a01f5feb6b73396b9987 Mon Sep 17 00:00:00 2001 From: George Oikonomou Date: Sun, 11 Mar 2018 14:36:40 +0000 Subject: [PATCH] Initialise variables explicitly --- arch/platform/srf06-cc26xx/platform.c | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/arch/platform/srf06-cc26xx/platform.c b/arch/platform/srf06-cc26xx/platform.c index ccb97894f..cafca84ff 100644 --- a/arch/platform/srf06-cc26xx/platform.c +++ b/arch/platform/srf06-cc26xx/platform.c @@ -194,7 +194,8 @@ platform_init_stage_two() void platform_init_stage_three() { - radio_value_t chan, pan; + radio_value_t chan = 0; + radio_value_t pan = 0; set_rf_params();